下载此文档

VHDL电子时钟的设计.docx


文档分类:通信/电子 | 页数:约16页 举报非法文档有奖
1/16
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/16 下载此文档
文档列表 文档介绍
扇京师楚大擘
中北学院
现代电子系统设计数字电子钟实验报告

名:
叶子

级:
0932班

号:

业:
电子信息工程
任课教
师:
倪小琦
完成时
间:
2012年5月19日
libraryieee;;;;entity
debounceisport(
clk:instd_logic;
qcin:instd_logic;
qcout:outstd_logic);enddebounce;architecturebehaveofbounceistypestateis(S0,S1,S2);signalcurrent:state;Begin
process(clk,qin)
begin
if(clk'eventandclk='1')thencasecurrentiswhenS0=>qcout<='1';if(qcin='0')thencurrent<=S1;elsecurrent<=S0;endif;whenS1=>qcout<='1';if(qcin='0')thencurrent<=S2;elsecurrent<=S0;endif;whenS2=>qcout<='0';if(qcin='0')thencurrent<=S2;elsecurrent<=S0;endif;whenothers=>qcout<='1';current<=S0;endcase;
endif;
endprocess;endbehave;-2所示:
ilk-:Hz
S=ck1kHz一*
dkdidkHzdkcikiMziielkdklOHs
图3-2分频模块VHD邸言设计如下:
libraryieee;;;;entityclklkHzis
generic(N:integer:=50000);
port
(clk:instd_logic;
clklkHz:outstd_logic
);endclklkHz;architecturebehaveofclklkHzis
signalcnt:integerrange0toN/2-1;
signaltemp:std_logic;Begin
process(clk)
begin
if(clk'eventandclk='1')thenif(cnt=N/2-1)thencnt<=0;temp<=NOTtemp;elsecnt<=cnt+1;endif;
endif;
endprocess;
clk1KHz<=temp;endbehave;lllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllibraryieee;;;;entityclk1Hzis
generic(N:integer:=50000000);
port
(clk:instd_logic;clkIHz:outstd_logic
);endclk1Hz;architecturebehaveofclk1Hzis
signalcnt:integerrange0toN/2-1;
signaltemp:std_logic;Begin
process(clk)
begin
if(clk'eventandclk='1')thenif(cnt=N/2-1)thencnt<=0;temp<=NOTtemp;elsecnt<=cnt+1;endif;
endif;
endprocess;
clk1Hz<=t

VHDL电子时钟的设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数16
  • 收藏数0 收藏
  • 顶次数0
  • 上传人shugezhang1
  • 文件大小183 KB
  • 时间2022-04-07