下载此文档

分频器实验报告.docx


文档分类:通信/电子 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
精品范文模板 可修改删除
免责声明:图文来源于网络搜集,版权归原作者所以
若侵犯了您的合法权益,请作者与本上传人联系,我们将及时更正删除。
撰写人:___________日 期:___________
signal m1,m2: std_logic;
begin
process(clk,c1)
begin
if rising_edge(clk) then
if(c1="110")then c1<="000";else c1<=c1+1;end if;
if(c1="001")then m1<=not m1;elsif (c1="100") then m1<=not m1;
end if;end if;
end process;
精品范文模板 可修改删除

免责声明:图文来源于网络搜集,版权归原作者所以
若侵犯了您的合法权益,请作者与本上传人联系,我们将及时更正删除。
process(clk,c2) begin
if falling_edge(clk) then
if(c2="110")then c2<="000";else c2<=c2+1;end if;
if(c2="001")then m2<=not m2;elsif (c2="100") then m2<=not m2;
end if;end if;
end process;
k1<=m1;k2<=m2;k_or<=m1 or m2;
end bhv;
(3) 文件存盘。选择File—Save as命令,找到已经建立的文件夹cnt10,存盘文件名应该与实体名一致,。当出现问句“Do you want to creat····”单击“是”按钮。
2:创建工程。
建立新工程管理窗口。单击对话框第二栏右侧“···”按钮,找到文件夹d:\work\ cnt10,,再单击“打开”按钮。
将设计文件加入工程中。单击Next按钮,在弹出的对话框中单击File栏后的按钮将与工程相关的所有VHDL文件都加入此工程。
选择目标芯片。单击Next按钮,选择目标器件即EP3C5E144C8。
工具设置。
结束设置。
3:半程编译。
编译前首先选择Processing→Start Complilation命令,启动半程编译。
4:时序仿真。
工程编译通过后
打开波形编辑器。选择File→New命令,在新建窗口选择Vector Waveform File选项,单击OK按钮。
设置仿真时间区域。
波形文件存盘。选择File→Save As,\work\ cnt10中。
将工程shift的端口信号节点选入波形编辑器中。
编辑输入波形。
精品范文模板 可修改删除

免责声明:图文来源于网络搜集,版权归原作者所以
若侵犯了您的合法权益,请作者与本上传人联系,我们将及时更正删除。
启动仿真器。现在所有的设置进行完毕,选择Processing→Start Simulation命令,直到出现Simulation

分频器实验报告 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息