下载此文档

VHDL硬件描述语言.ppt


文档分类:IT计算机 | 页数:约245页 举报非法文档有奖
1/245
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/245 下载此文档
文档列表 文档介绍
VHDL VHDL 硬件描述语言硬件描述语言 概述概述 VHDL VHDL 语言设计实体的基本结构语言设计实体的基本结构 VHDL VHDL 语言结构体的描述方式语言结构体的描述方式 VHDL VHDL 语言的库、程序包及配置语言的库、程序包及配置 VHDL VHDL 语言的语言要素语言的语言要素 VHDL VHDL 语言的描述语句语言的描述语句 概概述述 VHDL 语言是一种在 EDA 设计中广泛流行的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外, VHDL 语言的句法、语言形式和描述风格十分类似于一般的计算机高级语言,是目前硬件描述语言中应用最为广泛的一种。第第4 4章章 VHDL VHDL 硬件描述语言硬件描述语言 VHDL 语言简介 VHDL 语言全称是“超高速集成电路硬件描述语言”,它诞生于 1982 年,由美国国防部于 20世纪七、八十年代组织研制开发,其目的首先是用这种语言描述复杂电路,其次是希望这种语言能够成为一种标准语言。第第4 4章章 VHDL VHDL 硬件描述语言硬件描述语言 1987 年底, VHDL 语言被电气和电子工程师协会 IEEE 和美国国防部确认为标准硬件描述语言,版本为IEEE-1076 (简称 87版)。此后在电子产业界被广泛地接受,并逐步取代了原有的非标准硬件描述语言(如 CUPL 、ABEL 等)。 VHDL 语言简介 1993 年, IEEE 对VHDL 进行了修订,增加了一些功能,并从更高的抽象层次和系统描述能力上扩展 VHDL 的内容,公布了 VHDL 新的版本,编号为 IEEE Std1076-1993 (简称 93版)。第第4 4章章 VHDL VHDL 硬件描述语言硬件描述语言 1995 年中国国家技术监督局组织编写并出版了《CAD 通用技术规范》,推荐 VHDL 语言作为我国电子自动化硬件描述语言的国家标准。 1996 年, 成为 VHDL 综合标准。 VHDL 语言简介目前, VHDL 已经成为一个数字电路和硬件系统描述、综合、优化和布线的 IEEE 工业标准,已得到众多 EDA 公司的支持,越来越多的硬件电路设计工具向VHDL 标准靠拢,支持 VHDL 语言。在电子工程领域中,无论 ASIC 设计人员,还是系统设计人员,都需要学****VHDL 语言来提高自己的工作效率。有专家认为,在未来的 IT行业中, VHDL 语言和 Verilog HDL 语言将承担几乎全部的数字系统设计任务。第第4 4章章 VHDL VHDL 硬件描述语言硬件描述语言 VHDL 语言特点 VHDL 语言作为一种标准的硬件描述语言,具有结构严谨、描述能力强的特点,支持从系统级到逻辑门级电路所有层次的设计,适合于复杂逻辑电路和系统的设计。第第4 4章章 VHDL VHDL 硬件描述语言硬件描述语言作为高级硬件描述语言, VHDL 有如下特点: ①支持从系统级到逻辑门级电路的描述; ②具有很强的硬件描述能力; ③设计技术齐全、方法灵活、支持广泛; ④对设计描述具有相对的独立性; ⑤具有很强的移植能力; ⑥易于共享和复用; ⑦具有丰富的仿真语句和库函数; VHDL 语言特点第第4 4章章 VHDL VHDL 硬件描述语言硬件描述语言作为高级硬件描述语言, VHDL 有如下特点: ⑧设计结构清晰、易读易懂; ⑨易实现系统的更新和升级; ⑩数据类型丰富、安全性好。 VHDL VHDL 语言设计实体的基本结构语言设计实体的基本结构用VHDL 语言设计的电路无论规模大小,都要使用一个完整的 VHDL 程序结构,这个完整的程序结构称为设计实体或实体。设计实体是指能被 VHDL 语言综合器所接受,并能作为独立的设计单元,以元件的形式存在的 VHDL 语言程序。所谓的元件,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能模块,独立存在和运行。第第4 4章章 VHDL VHDL 硬件描述语言硬件描述语言 VHDL 语言设计实体的组成 VHDL 语言的设计实体都由实体说明(Entity) 和结构体(Architecture) 两个最基本的部分组成。实体说明部分用来描述该模块或系统的接口信息,包括端口的数目、方向和类型,其作用相当于传统设计方法中所使用的元件符号。结构体部分则描述该模块的内部电路,对应于原理图、逻辑方

VHDL硬件描述语言 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数245
  • 收藏数0 收藏
  • 顶次数0
  • 上传人ranfand
  • 文件大小3.19 MB
  • 时间2017-04-10