下载此文档

EDA技术习题.docx


文档分类:高等教育 | 页数:约25页 举报非法文档有奖
1/25
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/25 下载此文档
文档列表 文档介绍
该【EDA技术习题 】是由【泰山小桥流水】上传分享,文档一共【25】页,该文档可以免费在线阅读,需要了解更多关于【EDA技术习题 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。精选文档
精选文档
1
精选文档
第一章EDA技术归纳
填空题
一般把EDA技术的发展分为_______、_______和________三个阶段。
在EDA发展的_________阶段,人们只好借助计算机对电路进行模拟、展望,以及
辅助进行集成电路领土编写、印刷电路板(PCB)布局布线等工作。
在EDA发展的_______阶段,人们可与将计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将好多单点工具集成在一起使用。
EDA设计流程包含_________、__________、__________和_________四个步骤。
EDA的设计考据包含________、__________和_________。
EDA的设计输入方式主要包含________、________和_________。
文本输入是指采用_________进行电路设计的方式。
功能仿真是在设计输入完成以后,选择详尽器件进行编译从行进行的逻辑功能考据,所以又称为_______。
时序仿真是在选择了详尽器件并完成布局、布线以后进行的时序关系仿真,所以又称为________或_______。
当前最流行的并成为IEEE标准的硬件描述语言包含_________和________.
,

产生了
精选文档
精选文档
25
精选文档
当前最常用的并称之为_______的设计法。
精选文档
精选文档
25
精选文档
EDA工具大体可以分为________、_______、_______、________以及_____等5个
模块。
将硬件描述语言变换为硬件电路的重要工具称为_______。
单项选择题
将设计的系统或电路依据EDA开发软件要求的某种形式表示出来,并送入计算机的
过程称为( ).
①设计输入
②设计输出
③仿真
④综合
2.
在设计输入完成后,应马上对设计文件进行(
)
①编写
②编译
③功能仿真
④时序仿真
3.
在设计办理工程中,可产生器件编程使用的数据文件,对于
CPLD来说是产生(
)
①熔丝图
②位流数据
③图形
④仿真
4.
在设计办理过程中,
可产生供器件编程使用的数据文件,
对于FPGA来说是生成()
①熔丝图
②位流数据
③图形
④仿真
精选文档
精选文档
25
精选文档
(
)
①VHDL
②VerilogHDL
③AHD
④CUPL
6.
基于硬件描述语言
HDL的数字系统设计当前最常用的设计法称为(
)设计法。
①底向上
②自顶向下
③积木式
④定层
7.
在EDA工具中,能将硬件描述语言转变成硬件电路的重要工具软件称为(
)。
①仿真器
②综合器
③适配器
④下载器
8.
在EDA工具中,能完成在目标系统器件上布局布线软件称为(
)
①仿真器
②综合器
③适配器
④下载器

填空题
、CAE、EDA

精选文档
精选文档
5
精选文档

、设计输入、设计办理、器件编程
、时序仿真、器件测试
、图形输入方式、波形输入方式


、延时仿真
、VerilogHDL

、仿真器、HDL综合器、适配器(或布局布线器)、下载器

单项选择题
1.①2.②3.①4.②5.②6.②7.②8.③
第二章EDA工具软件的使用方法
填空题
QuartusⅡ支持________,__________和_______等不一样的编写方式.
Ⅱ的输入法设计的文件不可以直接保持在根目录上,所以设计者在进入设
计前,应该在计算机中建立保存文件的_________.
MegaFunctions是QuartusⅡ的_______库,包含参数可定制的复杂逻辑模块。
QuartusII的______元件库包含各种逻辑门,触发器和输入输出端口等。
Quartus工程中顶层文件的文件名一定和__________的名称一致.
QuartusII的解析与综合优化设置中,供给了________,________和________三种优
化选择.
.
Quartus的完好编译过程包含________,_________,__________和_________四个环节.
在完成设计电路的输入输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称为_______或_______.
以EDA方式实现的电路设计文件,最后可以编程下载到_________或_________芯片中,完成硬件设计和考据.
QuartusII的嵌入式逻辑解析仪__________是一种高效的硬件测试工具,可以经过
__________接口从运转的设计中捕捉内部信号的波形。
,如采样深度为2K,则需要耗费______
字节的嵌入式RAM容量。
在QuartusII中利用__________可以观察设计电路的综合结果。
在给可编程逻辑器件编程时,常用的下载线有_________和__________.
精选文档
精选文档
6
精选文档
单项选择题
,Quartus
①VHDL②SystemC

Ⅱ不支持的是

( ).
③AHDL

④VerilogHDL
精选文档
精选文档
25
精选文档
Ⅱ工具软件拥有( )等功能.
①仿真②综合
Ⅱ工具软件实现原理图设计输入
①bdf②vhd

③设计输入,应创办( )③bsf

文件.

④以上均可
④smf
精选文档
精选文档
25
精选文档
QuartusⅡ的设计文件不可以直接保护在( ).
①硬盘②根目录③文件夹④工程目录
Ⅱ的原理图文件中,正确的总线命名方式是()。
①a[8]②a[7..0]③a[7:0]④a[7downto0]
Ⅱ集成环境下为图形文件产生一个元件符号的主要用途是()。
①仿真②编译③综合④被高层次电路设计调用
Ⅱ中,不可以作为工程顶层文件的格式为()。
①bdf②v③vhd④smf
,可以用作QuartusII工程顶层实体名的是()。
①计数器②XNOR
③WRONG④DFF
精选文档
精选文档
9
精选文档

①.mif

①.bsf

Ⅱ的波形文件种类是().
②.vwf③.vhd
Ⅱ的储存器初值设定文件种类是()。
②.mif③.vwf


④.v
④.smf
精选文档
精选文档
25
精选文档
填空题
、文本、状态机
(文件夹)
(参数可设置强函数元件)


、面积、速度

、适配、编程、时序解析
、后仿真
、CPLD
、JTAG


、USBBlaster
精选文档
精选文档
25
精选文档
单项选择题
1.②2.
6.④7.




3.
8.

①4.
③9.

②5.
②10.



精选文档
精选文档
25
精选文档
三、VHDL
精选文档
精选文档
25
精选文档
填空题
.
.

精选文档
精选文档
15
精选文档
HDL设计实体的基本结构由_________,____________,_________,__________


精选文档
精选文档
15
精选文档
_________等部分构成

.
精选文档
精选文档
25
精选文档
4.

__________和_________是设计实体的基本构成部分

,它们可以构成最基本的

VHDL
精选文档
精选文档
25
精选文档
程序.
精选文档
精选文档
25
精选文档
5.

IEEE于

1987年宣告了

VHDL的_________语法标准

.
精选文档
精选文档
25
精选文档
6.
IEEE于1993年宣告了VHDL的_________语法标准.
7.
依据VHDL语法规则,在VHDL程序中使用的文字,数据对象,数据种类都需要
____________.
,最常用的程序包是_____________程
序包.
.
,它是设计实体对外
的一个通讯界面,是外界可以看到的部分.
,它由VHDL语句构成,是
外界看不到的部分.
,端口方向包含_________,___________,___________和
__________.
,字母和符号。
,后跟若干字母,数字和单个下划线构成,但最
后不可以为_______.
’93的数据对象包含_________,____________,___________和__________,
它们是用来存放各各种类数据的容器.
(VARIABLE)是一个_________,只好在进度,函数和过程中申明和使用.
(SIGNAL)是一种数值容器,不但可以容纳_________,也可以保持
_________.
’87的数据种类包含________,_________,___________和___________.
(ScalarType)是单元素的最基本数据种类,包含
________,_________,________和________.
,标准逻辑位数占有________种逻辑值.
,___________,___________和__________四类.
,预约义的________可用于检出时钟边缘,完成准时检查,获取未拘束的
数据种类的范围等.
.
,_________和_______中,是按程序书写的
序次自上而下,一条一条的执行.
,其执行方式与语句书写的序次
没关.
(进度)内部是由___________构成的,但PROCESS语句自己倒是
___________.
精选文档
精选文档
20
精选文档
27.

VHDL的子程序有

__________和______________两各种类

.
精选文档
精选文档
25
精选文档
,如需在不一样实体中调用需要将它们装入
_______________中.
,如需在不一样实体中调用
精选文档
精选文档
25
精选文档
需要将它们装入程序包

(Package)

中.
精选文档
精选文档
25
精选文档


VHDL语言编写的

,其原程序也需要以

_______文件种类保存

.
精选文档
精选文档
24
精选文档
单项选择题
精选文档
精选文档
25
精选文档

①IEEESTD1076-1987

VHDL的(

)语法规则。
②RS232
精选文档
精选文档
25
精选文档


①IEEESTD1076-1987


VHDL的(

④IEEESTD1076-1993
)语法规则。
②RS232
④IEEESTD1076-1993
精选文档
精选文档
27
精选文档
,并能作为一个独立的设计单元的完好的VHDL程序称为
()。
①设计输入②设计输出③设计实体④设计结构
( ),成为系统的一部分.
①输入②输出③仿真④调用
精选文档
精选文档
25
精选文档
VHDL最常用的库是( )标准库.
①IEEE②STD③WORK④PACKAGE
在VHDL的端口申明语句中,用( )申明端口为输入方向.
①IN②OUT③INOUT④BUFFFR
在VHDL的端口申明语句中,用( )申明端口为输出方向.
①IN②OUT③INOUT④BUFFFR
在VHDL的端口申明语句中,用( )申明端口为双向方向.
①IN
②OUT
③INOUT
④BUFFFR
9.
在VHDL的端口申明语句中,用( )申明端口为拥有读功能的输出方向.
①IN
②OUT
③INOUT
④BUFFFR
10.
在VHDL顶用( )来把特定的结构体关系一个确立的实体
,为一个大型系统的设计
供给管理和进行工程组织.
①输入
②输出
③综合
④配置
11.
在VHDL中,45_235_287
属于( )文字.
①整数
②以数制基数表示的
③实数
④物理量
12.
在VHDL中,( )
文字.
①整数
②以数制基数表示的
③实数
④物理量
精选文档
精选文档
25
精选文档
13.
在VHDL中,16#FE#属于( )文字..
①整数
②以数制基数表示的
③实数
④物理量
14.
在VHDL中,100m属于(
)文字.
①整数
②以数制基数表示的
③实数
④物理量
在VHDL短表记符命名规则中,以( )开头的标记符是正确的.
①字母②数字③字母或数字④下划线
,( )是VHDL的合法标记符.
①4h_adder②h_adder_③h_adder④_h_adde
在VHDL中,( )不可以将信息带出对它定义的当前设计单元。
①信号
②常量
③数据
④变量
18.
在VHDL中,(
)的赋值是马上发生的,不存在任何延时的行为。
①信号
②常量
③数据
④变量
19.
在VHDL中,为目标变量的赋值符号是(
)。
①=:
②=
③∶=
④<=
20.
在VHDL中,为目标信号的赋值符号是
(
).
①=:
②=
③:=
④<=
21.
在VHDL中,在定义信号时,可以用(
)
符号为信号赋初值.
①=:
②=
③:=
④<=
精选文档
精选文档
30
精选文档
在VHDL中,( )是单元素的最基本数据种类,平时用于描述一个单值的数据对象.
①标量型
②复合种类
③存取种类
④文件种类
23.
在VHDL中,数组型(Array)和记录型(Record)
属于(
)
数据.
①标量型
②复合种类
③存取种类
④文件种类
24.
在VHDL的IEEE标准库中,预约义的标准逻辑数据
STD_LOGIC有(
)种逻辑值.
①2
②3
③8
④9
,预约义的位数据种类
BIT有(
)种逻辑值.
①2
②3
③8
④9
26.
在VHDL的IEEE注明库中,预约义的标准逻辑位
STD_LOGIC的数据种类中的数据是
用( )表示的.
①小写字母
②大写字母
③大或小写字母
④所有是数字
,加”+”和减”-“算术运算的操作数据是
( )数据种类.
①整型
②实型
③整型或实型
④任意种类
28.
在VHDL中,可以用“
*”和除“/”算术运算的操作数据是(
)。
①INTEGER
②STD_LOGIC
③BIT_VECTOR
④BOOLEAN
29.
在VHDL中,用语句(
)表示检测clock
的上升沿。
①clock’EVENT
②clock
`EVENTANDclock=’1’
精选文档
精选文档
31
精选文档

EDA技术习题 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息