下载此文档

数字系统课程设计交通灯控制器.doc


文档分类:高等教育 | 页数:约15页 举报非法文档有奖
1/15
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/15 下载此文档
文档列表 文档介绍
东南大学
《数字系统课程设计》
设计报告
项目名称: 交通灯控制器
姓名: 学号:
专业: 实验室: 电工电子实验中心
组别: 无同组人员: 无
设计时间: 2016 年 8 月 26 日—— 2016 年 9 月 20日
评定成绩: 审阅教师:
目录
一. 设计方案及论证……………………………………………… 3
……………………………………………………… 5
三. 总体设计与仿真……………………………………………… 10
四. 总结…………………………………………………………… 12
一. 设计方案及论证

本交通灯控制系统设计利用Verilog HDL语言进行设计编程,利用Cyclone EP1C6Q240C8芯片和一些外围器件组成硬件电路,利用Quartus II软件将编写好的程序进行编译和仿真,并将调试完成的程序下载到Cyclone EP1C6Q240C8芯片上,通过观测电路板上的红绿信号灯以及数码管显示来分析系统的性能。

主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。
设计要求:
当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。
当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。
当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。
不论主干道情况如何,乡村公路通车最长时间为16s。
在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。
用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。
数码管倒计时显示

1)通过乒乓开关来控制FPGA输入信号的电平,从而控制交通灯工作。
2)利用FPGA输出的电平信号去驱动静态数码管及三色小灯来模拟交通灯。


1)交通灯控制器框图
C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST为1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭。
2)流程图
MGCR表示主干道绿灯,乡村道路红灯;MYCR表示主干道黄灯,乡村道路红灯;MRCG表示主干道红灯,乡村道路绿灯;MRCY表示主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。
二. 模块设计

1)分频模块
输入端为clk_in,即实验箱自带脉冲输入信号,输出端为clk,即想得到的频率。
2)主控制模块
输入端为CLK、RST、C。其中c为乡村道路开关,为1时表示乡村道路有车;rst为初始化开关,为1时表示初始化为主干道绿灯,乡村道路红灯的状态。
输出端为MG、MY、MR、CG、CY、CR分别表示主干道和乡村道路的红黄绿灯,与LED灯相连;mh、ml、ch、cl分别表示主干道和乡村道路倒计时显示的高低位,与数码管相连。
3)数码管显示模块
输入端为clk和count,输出端为LED。

1)分频模块
试验箱可选晶振有2M和50M,选择使用2M后,设置分频系数为2000000,每计数到1000000,则输出取反,最终可得到1HZ的时钟信号。
2)主控制模块
设置两个外部控制条件:初始化(RST);乡村干道是否有车(C);
设置一个内部计数变量:NUM,通过相关运算取余取整得到数码管显示高低位;
通过有限状态机实现四个状态的循环切换。
3. Verilog设计程序及说明
1)分频模块
module fre(clk_in,clk);
input clk_in;
output clk;
reg clk;
reg [31:0]k;
always @(negedge clk_in)
begin
if (k>=1000000)//1000000分频
begin
clk<=~clk;//取反
k<=0;
end
else
k<=k+1;//计数
end
endmodule
2)主控制

数字系统课程设计交通灯控制器 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数15
  • 收藏数0 收藏
  • 顶次数0
  • 上传人w447750
  • 文件大小920 KB
  • 时间2017-09-11