下载此文档

中国电子学会嵌入式系统工程师专业技术资格认证考试.pdf


文档分类:通信/电子 | 页数:约13页 举报非法文档有奖
1/13
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/13 下载此文档
文档列表 文档介绍
该【中国电子学会嵌入式系统工程师专业技术资格认证考试 】是由【小辰GG】上传分享,文档一共【13】页,该文档可以免费在线阅读,需要了解更多关于【中国电子学会嵌入式系统工程师专业技术资格认证考试 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。:.
中国电子学会嵌入式系统工程师专业技术资格认证考试
一、选择题(本大题共15个小题,每小题1分,共15分)
1、基于FPGA的嵌入式系统,下面说法正确的是(D)



的嵌入式系统是FPGA最主要的应用方向
2、下列不属于FPGA应用范围的是(D)

3、下列关于软核处理器的说法,正确的是(B)


、Microblaze、PowerPC、MIPS都属于软核处理器的范


:.
4、下列说法正确的是(A)



*5、关于SOPC的说法,错误的是(B)

了嵌入式设计的全部,除了硬件PCB外,还包括处理器和实时多任务
操作系统(RTOS)
GA中集成了硬核处理器,无论是否使用,系统都属于SOPC系统
6、下列不属于FPG***内资源的是(A)
(数字锁相环)(先进
先出)
7、下面哪项不属于NiosII软核的可定制性(D)
(内存管
理单元)
8、关于FPGA的配置问题下列说法的正确的是(A):.

(被动)方

9、关于NiosII软核启动过程,下列说法错误的是(D)

程序的加载
、NiosII程序和其他文
件数据
(.sof)和NiosII程序(.elf)都可以保存在
EPCS中
,FPGA把配置数
据从CFIFlash读出并加载,然后执行Bootloader把保存的NiosI
I程序复制SDRAM执行
10、下列可以在FPGA中稳定运行的是(B)

,但没有做
,有的模块采用时钟下降沿
11、uC/OS-II的任务调度策略是(A):.


某个任务一直得不到CPU资源,就会进入等待状态
12、在FPGA设计中不属于软件硬件协同设计的是(C)
(CtoHardware)

,合理划分软硬件结构
13、在uC/OS-II系统中不属于信号量用途的是(D)
)

14、关于SystemC和SystemVerilog的说法正确的是(C)
,
Verilog适合顶层建模,

15、下列关于uClinux的说法正确的是(D)

,故:.

系统
二、判断题(本大题共10个小题,每题1分,共10分)
1、NiosII处理器是可以配置成16位或32位的处理器。(X)
2、Avalon接口是一个同步协议的接口,所以Avalon总线不能与
异步设备连接。(X)
3、SOPC设计中,在SDRAM控制器核与SDRAM芯片之间需要
PLL调整时钟相位。√
4、ANSIC数据类型不能明确地定义数据的宽度。(√)X)
))
5、在SOPCBuilder中Auto-AssignIRQs能做出最好的IRQ分
配。(
6、在设计时可以将NiosII程序和FPGA配置数据同时存放在同
一个Flash中,这就需要一个配置控制器来驱动Flash输出配置数
据以完成FPGA的配置。(√)
7、在SOPCBuilder中定义CPU的复位地址在Flash,而在Nio
sIIIDE中程序被连接到Flash之外的存储器,那么elf2flash实
用程序将在用户程序前插入一个Boot-copier。(√):.
8、NiosII的定时器计数模式有两种,一种是单次减1,另外一
种是连续减1。(√)
9、通常处理器的异常地址都是固定的,但是NiosII处理器的
异常地址是可以配置的。(√)
10、NiosIIIDE不能使用asm/C/C++混合编程。(X)
三、填空题(本大题共10个空,每空1分,共10分)
1、NiosII处理器有三种运行模式:____用户模式(UserMode,
超级用户模式(SupervisorMode),调试模式(DebugMode)。
2、CycloneIIFPGA支持串行配置器件的isp编程,该特性是通
过____ByteBlaster?II和ByteBlasterUSB编程电缆用JTAG接
口实现的。
3、在SOPCBuilder中,复位地址的偏移量是0x20。0x00,
异常地址的偏移量是_利
4、根据Flash是否支持处理器的直接读操作,NiosII处理的bo
otloader分成两种模式:epcsbootloader、flashbootloader。
5、用uC/OS-II操作系统实现以太网与轻量IP功能的时候,以
太网的中断号至少是。
存储器:.
6、在NiosII的多处理器系统中,最常用的共享资源是。
四、简答题(本大题共5个小题,共38分)
1、简述FPGA稳定性设计原则。(5分)

相互制约、影响成本和性能的指标,贯穿FPGA设计的始终。在FPGA
设计中,面积是指一个设计消耗的FPGA内部逻辑资源的数量,可以用
消耗的触发器和查找表的个数或者是等效逻辑门数来衡量;速度是指
一个设计在FPGA上稳定运行时所能达到的最高频率,由设计时序状
态决定。关于面积和速度的折衷,应在满足设计时序和工作频率要求
的前提下,占用最小的芯片面积;或者在所规定的面积下,使得设计
的时序余量最大,能够在更高的频率上稳定运行。通常,在资源足够的
情况下,更多是选择速度的最优,这也是FPGA的特点。在具体设计
中,应根据具体性能指标要求,在保证系统功

第二个原则是硬件原则。首先,要注意FPGA的逻辑设计所采用
的硬件描述语言VHDL或Verilog与软件语言C和C++有本质区
别,在使用硬件描述语言进行设计时,不应片面追求代码的简洁。其
次,要采用正确的编码方法。要对所需实现的硬件电路的结构和相互连
接有清晰的理解和构想,然后再用适当的VHDL语言表达出来。实际:.
上综合软件对所写的代码在进行推论的时候,得到的硬件结果会因编
码方式的不会而不同,直接影响硬件的实现。
。FPGA作为硬件系统设计,
应该对设计全局进行宏观上的合理安排,包括逻辑功能模块划分、时
钟域信号的产生和驱动、模块复用、时序或引脚约束、面积速度折衷等。
这些系统上的考虑不仅关系到是否能够最大程度地发挥项目成员的协
同设计能力,而且直接决定着设计的综合、实现效果和相关的操作时
间。模块化设计是系统原则的一个很好体现,它是自顶向下、模块划
分、分工协作设计思路的集中体现,是大型复杂系统的推荐设计方法。
图1是模块化设计的简单流程。
,可以有异步电路和同步电路两种实
现方法。异步电路使用组合逻辑电路实现,没有统一的时钟信号,容
易产生毛刺和竞争冒险;同步时序电路使用组合逻辑和触发器实现电路
功能,主要信号和输出信号都由时钟驱动触发器产生,能够避免毛刺,
信号稳定。
2、请以自己的语言描述什么是SOPC?一般SOPC系统应具有哪
些基本组件?(5分)
(1),SOPC它是用可编程逻辑技术把整个系统放到一块硅片上,

式系统,它是片上系统(SOC),即由单个芯片完成整个系统的主要逻:.
辑功能但它不是简单的SOC,它也是可编程系统,具有灵活的设计方式,
可裁减、可扩充、可升级,并具备软硬件在系统可编程的功能。
(2).
3、请描述一下你对IP核的理解以及其类型,并简要给出各类型
的定义和特点。(5分)
(1).IP核(IntellectualPropertycore)是一段具有特定电
路功能的硬件描述语言程序,该程序与集成电路工艺无关,可以移植
到不同的半导体工艺中去生产集成电路芯片。(2).IP内核的三种类
型IP内核可以在不同的硬件描述级实现,由此产生了三类IP内核:
软核固核和软核、固核软核固核硬核。硬核(3).软核软核是
用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路
元件实现这些功能。软IP通常是以硬件描述语言HDL源文件的形势
出现,应用开发过程与普通的HDL设计也十分相似,只是所需的开发
硬软件环境比较昂贵。软IP的设计周期短,设计投入少。由于不涉
及物理实现,为后续设计留有很大的发挥空间,增大了IP的灵活性和
适应性。其主要缺点是在一定程度上使后续工序无法适应整体设计,从
而需要一定程度的软IP修正,在性能上也不可能获得全面的优化。
由于软核是以源代码的形式提供,尽管源代码可以采用加密方法,但
其知识产权保护问题不容忽视。硬核提供设计阶段最终阶段产品:掩
模。以经过完全的布局布线的网表形式提供,硬核这种硬核既具有可
预见性,同时还可以针对特定工艺或购买商进行功耗和尺寸上的优化。:.
尽管硬核由于缺乏灵活性而可移植性差,但由于无须提供寄存器转移级
(RTL)文件,因而更易于实现IP保护。固核则是软核和硬核的折衷。
大多数应用于FPGA的IP内核均为软核,软核有助于固核用户调节
参数并增强可复用性。软核通常以加密形式提供,这样实际的RTL对
用户是不可见的,但布局和布线灵活。在这些加密的软核中,如果对
内核进行了参数化,那么用户就可通过头文件或图形用户接口(GUI)方
便地对参数进行操作。对于那些对时序要求严格的内核(如PCI接口
内核),可预布线特定信号或分配特定的布线资源,以满足时序要求。
这些内核可归类为固核,由于内核是预先设计的代码模块,因此这有可
能影响包含该内核的整体设计。由于内核的建立(setup)、保持时间和
握手信号都可能是固定的,因此其它电路的设计时都必须考虑与该内
核进行正确地接口。如果内核具有固定布局或部分固定的布局,那么
这还将影响其它电路的布局。
4、请简要写出SOPC的设计流程,同时以数字钟为例,画出设计流程
图。(7分)(1)一、创建QuartusII工程二、创建NiosII系
统模块一个完整的NiosII系统模块包括NiosII处理器和相关的
系统外设。创建系统(输入系统的名字)→设置系统主频和指定目
标FPGA→加入NiosIICPU模块→加入IP外设模块(NiosIICPU
通过这些外设与外部硬件进行连接和通讯)→分配IP外设模块的地
址和中断号(中断号越低,中断优先级越高)
三、配置NiosII系统:.
四、将NiosII系统模块、LPM和用户自定义模块连接起来
五、编译、引脚分配、编程下载(2)
新建quartusII工程
新建sopc模块
构建软核及端口
自动分配地址和中断打开niosII进行软件开发
编译编译分配管脚下载编译
5、请简要描述用户自定义IP核的设计步骤。(8分).(1).
定义关键特征:核基本功能,可配置参数(2).计划和规范:
(3).设计
验证IIP和VIP(4).产品化:附加的测试和最终的封装(5).Alp
ha测试形成可用版本
6、SOPC构建系统,当添加某一外设时,此时外设相当于从设备,
描述一些常用从设备与主机之间的接口信号类型及其宽度和方向。(8
分)
五、程序题(本大题共2个小题,共27分)
1、用VerilogHDL或者VHDL编写8bit并行输入1bit串行输
出的接口转换模块。(提示:注意输入和输出的时钟)(12分):.
Libraryieee;;
;;Entitybing
zhuanchuanisPort(cp:instd_logic;cs:instd_logic;datain:
instd_logic_vector(15downto0);output:outstd_logic);end
bingzhuanchuan;Architectureaofbingzhuanchuanis
signalcnt:std_logic_vector(3downto0);signalz:std_log
ic;begin
process(cp)beginifcs='1'thencnt<="0000";elsifcp'ev
entandcp='0'thenifcnt="1111"thencnt<="0000";elsecnt<
=cnt+1;endif;endif;endprocess;
process(cnt)begincasecntiswhen"0000"=>z<=datain(15);
when"0001"=>z<=datain(14);when"0010"=>z<=datain(13);when
"0011"=>z<=datain(12);when"0100"=>z<=datain(11);when"010
1"=>z<=datain(10);when"0110"=>z<=datain(9);when"0111"=>z<
=datain(8);when"1000"=>z<=datain(7);when"1001"=>z<=datain
(6);when"1010"=>z<=datain(5);
when"1011"=>z<=datain(4);when"1100"=>z<=datain(3);whe
n"1101"=>z<=datain(2);when"1110"=>z<=datain(1);whenother
s=>z<=datain(0);endcase;endprocess;:.
process(cp,z)beginifcp'eventandcp='0'thenoutput<=z;
endif;endprocess;enda;
2、用VerilogHDL或者VHDL编写简单的I2C总线的控制器,
用来向从设备中的某个寄存器写入一个确定的值。(提示:SCL=1,S
DA由1变到0时表示传输开始;SCL=1,SDA由0变到1时表示传
输结束。假设I2C总线从设备的地址为0x90,向0xAA寄存器写入0
x55)(15分)

中国电子学会嵌入式系统工程师专业技术资格认证考试 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数13
  • 收藏数0 收藏
  • 顶次数0
  • 上传人小辰GG
  • 文件大小339 KB
  • 时间2023-03-26