下载此文档

杭电计组实验5-存储器设计实验.docx


文档分类:IT计算机 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
该【杭电计组实验5-存储器设计实验 】是由【飞行的优优】上传分享,文档一共【6】页,该文档可以免费在线阅读,需要了解更多关于【杭电计组实验5-存储器设计实验 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
实验报告
2018

5月5

成绩:
姓名
阳光男
学号
班级
专业
计算机科学与技术
课程名称
《计算机构成原理与系统构造试
验》
任课老
张翔老师
指导老
张翔老师
机位号
默认


实验序
5
实验名
《实验五储存器设计实验》


实验时
2018/5/12
实验地
1教211
实验设施
个人电脑、Nexys3开发




一、实验程序源代码
储存器顶层电路代码:
moduletop_RAM_B(Mem_Addr,C,Mem_Write,Clk,LED);
input[7:2]Mem_Addr;//开关的3、4、5、6、7、8位
inputMem_Write,Clk;//clk为按键C9,读写使能信号为按键
input[1:0]C;//选择写入数据+读操作时选择显示字节,为开关
outputreg[7:0]LED;
wire[31:0]M_R_Data;//存在储存器里的32位读出数据
reg[31:0]M_W_Data;//存放在暂存器的32位写入数据
RAM_Btest_ram(
.clka(Clk),//输入时钟信号
.wea(Mem_Write),//输入读写信号

C4,0为读,1为写
1、2位
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
.addra(Mem_Addr[7:2]),//输入5位地点信号
.dina(M_W_Data),//写入32位数据
.douta(M_R_Data)//读出32位数据
);
always@(*)
begin
LED=0;//初始化
M_W_Data=0;//初始化
if(!Mem_Write)//读操作
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
begin
case(C)
2'b00:LED=M_R_Data[7:0];//读出数据的0-7位
2'b01:LED=M_R_Data[15:8];//读出数据的8-15位
2'b10:LED=M_R_Data[23:16];//读出数据的16-23位
2'b11:LED=M_R_Data[31:24];//读出数据的24-31位
endcase
end
else
begin
case(C)
2'b00:M_W_Data=32'h0055_7523;//写入第一个数据2'b01:M_W_Data=32'h1234_5678;//写入第二个数据2'b10:M_W_Data=32'h8765_4321;//写入第三个数据2'b11:M_W_Data=32'hffff_ffff;//写入第四个数据endcase
end
end
endmodule
测试代码:
moduletest;
Inputsregclka;reg[0:0]wea;reg[5:0]addra;reg[31:0]dina;
Outputs
wire[31:0]douta;
InstantiatetheUnitUnderTest(UUT)RAM_Buut(
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
.clka(clka),
.wea(wea),
.addra(addra),
.dina(dina),
.douta(douta)
);
initialbegin
InitializeInputsclka=0;
wea=0;addra=0;dina=0;
Wait100nsforglobalresettofinish#100;
Addstimulushere
clka=1;
wea=0;
addra=6'b000001;
dina=32'h0000_0003;
#100;
clka=0;
wea=0;
addra=6'b000001;
dina=32'h0000_0607;
#100;
clka=1;
wea=1;
addra=6'b000001;
dina=32'hFFFF_FFFF;
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
#100;
clka=0;
wea=1;
addra=6'b000001;
dina=32'hFFFF_FFFF;
end
endmodule
二、仿真波形
三、电路图
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
顶层电路模块
顶层电路内部构造:
四、引脚配置(拘束文件)
NET"Clk"LOC=C9;
NET"LED[4]"LOC=M11;
NET"LED[3]"LOC=V15;
NET"LED[2]"LOC=U15;
NET"LED[1]"LOC=V16;
NET"LED[0]"LOC=U16;
NET"Mem_Addr[7]"LOC=U8;
NET"Mem_Addr[6]"LOC=N8;
NET"Mem_Addr[5]"LOC=M8;
NET"Mem_Addr[4]"LOC=V9;
NET"Mem_Addr[2]"LOC=T10;
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
NET"Mem_Write"LOC=C4;
NET"Mem_Addr[3]"LOC=T9;
NET"C[1]"LOC=T5;
NET"C[0]"LOC=V8;
NET"LED[7]"LOC=T11;
NET"LED[5]"LOC=N11;
NET"LED[6]"LOC=R11;
五、思虑与探究
(1)读操作实验结果记录表
储存器地点
初始化数据
读出数据
写入新数据
读出数据
5’b000000
32’b
32’b
32'h0055_7523
32'h0055_7523
5’b000001
32’b
32’b
32'h0055_7523
32'h0055_7523
5’b000002
32’b2
32’b2
32'h0055_7523
32'h0055_7523
5’b000003
32’b3
32’b3
32'h0055_7523
32'h0055_7523
5’b000004
32’b4
32’b4
32'h0055_7523
32'h0055_7523
5’b000005
32’b5
32’b5
32'h0055_7523
32'h0055_7523
5’b000006
32’b6
32’b6
32'h0055_7523
32'h0055_7523
5’b000007
32’b7
32’b7
32'h0055_7523
32'h0055_7523
(2)答:这些单元的数据已经被改写了。读出数据与写入数据一致。
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验
杭电计组实验5-储存器设计实验

杭电计组实验5-存储器设计实验 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人飞行的优优
  • 文件大小62 KB
  • 时间2023-03-26