下载此文档

十字路口交通灯控制器设计.doc


文档分类:通信/电子 | 页数:约11页 举报非法文档有奖
1/11
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/11 下载此文档
文档列表 文档介绍
西安工业大学北方信息工程学院
课程设计报告
课程数字系统设计
题目十字路口交通灯控制器的设计
专业通信工程
班级
学号
姓名
2012年 6 月 23 日
目录
一、设计目的 2
二、设计要求和任务 2
设计任务: 2
三、方案论证 2
四、VHDL语言程序描述 3
五、对VHDL语言进行处理 7
六、硬件仿真 7
七、心得体会 10
一、设计目的
利用MaxplussII平台的VHDL语言设计一个十字交叉路口的交通灯控制器,要求主干道和支道持续的时间各不相同,并能够用倒计时的形式显示。
二、设计要求和任务
设计任务:
有一条主干道和一条支干道的汇合点形成十字交叉路口,主干道为东西向,支干道为南北向。为确保车辆安全,迅速地通行,在交叉道口的每个入口处设置了红、黄、绿3种信号灯(可利用实验板上的LED显示灯表示交通状态,其中O1、O2、O3分别表示主干道红、黄、绿灯,O6、O7、O8分别表示支干道红、黄、绿灯)。
要求:
(1)主干道绿灯亮时,支干道红灯亮,反之亦然,两者交替允许通行,主干道每次放行35s,支干道每次放行25s。每次由绿灯变为红灯的过程中,亮光的黄灯作为过渡,时间为5s。
(2)利用七段数码显示器,实现正常的倒计时显示功能(用数码管1和数码管2显示主干道倒计时时间,用数码管4和数码管5显示支干道倒计时时间)。
(3)能实现总体清零功能:计数器由初始状态开始计数,对应状态的指示灯亮。
三、方案论证
图1交通灯控制器原理图
交通灯控制器原理框图如图1所示,包括置数模块、计数模块、主控制器模块和译码器模块。置数模块将交通灯的点亮时间预置到置数电路中,计数模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。其中,核心部分是主控制模块,他负责整个交通灯的运行状态。
表1 交通灯控制器的状态转换表
状态
主干道
支干道
时间
1(00)
绿灯亮
红灯亮
35s
2(01)
黄灯亮
红灯亮
5s
3(10)
红灯亮
绿灯亮
25s
4(11)
红灯亮
黄灯亮
5s
(1)控制器模块设计依设计要求,可画出交通灯点亮规律的状态转换表,如表1所示。根据状态图进行主控制器的设计。
(2)译码模块由于系统要进行35s,5s,25s三种定时,可以采用一个置数模块由主控模块输出的信号控制定时时间的选择。
(3)定时计数器采用倒序计时的方式,由主控模块输出的信号控制定时的开始,定时时间结束时输出定时时间结束信号到主控模块,通过主控模块控制交通灯的亮、灭。
(4)译码模块把计数器输出的信号分别进行译码,由数码管显示当前计数。
四、VHDL语言程序描述
----主干道放行35秒,支干道放行25秒,黄灯过渡时间为5秒的程序示例
library ieee; -----库说明
use ;
;
entity jiaotongdeng is -----实体名称
port( clk_in:instd_logic; -----端口定义:时钟信号
rst:instd_logic; 复位信号
light:outstd_logic_vector(7 downto 0); 指示灯显示
LED7S0:out STD_LOGIC_VECTOR(6 downto 0); -----支干道十位数
LED7S1:out STD_LOGIC_VECTOR(6 downto 0); -----支干道个位数
LED7S2:out STD_LOGIC_VECTOR(6 downto 0); -----主干道十位数
LED7S3:out STD_LOGIC_VECTOR(6 downto 0) ----主干道个位数
);
endjiaotongdeng;
architecture arc of jiaotongdeng is -----结构体
type states is(green_red,yellow_red,red_green,red_yellow); -----定义了一个新的类型
signalstate:states;
signal nextstate:states:=green_red; -----定义下一状态
signal data0:integer range 0 to 3; ----支干道十位初始值范围
signal data1:integer range 0 to 9; ----支干道个位初始值范围
signal data2:integer range

十字路口交通灯控制器设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数11
  • 收藏数0 收藏
  • 顶次数0
  • 上传人799474576
  • 文件大小0 KB
  • 时间2015-05-29