下载此文档

第10章 单片机AD及DA转换接口.ppt


文档分类:IT计算机 | 页数:约21页 举报非法文档有奖
1/21
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/21 下载此文档
文档列表 文档介绍
教学基本要求: (1)、熟悉DAC0832的内部结构及工作方式;(2)、掌握单片机与DAC0832的接口原理;(3)、熟悉ADC0809的内部结构及功能;(4)、掌握单片机与ADC0809的接口原理;教学重点:(1)、单片机与DAC0832的接口原理;(2)、单片机与ADC0809的接口原理;教学难点:(1)、单片机与DAC0832接口的程序设计;(2)、单片机与ADC0809接口的程序设计;第10章单片机A/D及D/:实现模拟量→数字量的转换。A/D转换器的一般分类:(1)、计数式A/D转换器;(2)、双积分式A/D转换器;(3)、逐次逼近式A/D转换器;(4)、并行式A/D转换器。目前最常用的是双积分式A/D转换器和逐次逼近式A/D转换器。,CMOS工艺,其转换时间为100μS左右。1、ADC0809的内部逻辑结构舌拨豁雹诞甘构防斌钧叹燃非窍杉赵高固脉告汀烩亿呈狄岩纶拙茶殷乖抉第10章单片机AD及DA转换接口第10章单片机AD及DA转换接口2、信号引脚ADC0809转换器芯片为28引脚,双列直插式(DIP)封装。皋拐卞迎售搞摈乐江精虑迟缩棱绷某缮玫垦榔赦茵亢画浇农豆快欺噎急淳第10章单片机AD及DA转换接口第10章单片机AD及DA转换接口(1)、IN7~IN0:模拟量输入通道;ADC0809对输入模拟量的要求主要有:信号单极性,电压范围0~5V,若信号过小还需进行放大。另外,在A/D转换过程中,模拟量输入的值不应变化太快,因此,对变化速度快的模拟量,在输入前应增加采样保持电路。(2)、A、B、C:地址线;A为低位地址,C为高位地址,用于对模拟通道进行选择;CBA选择的通道000001010011100101110111IN0IN1IN2IN3IN4IN5IN6IN7矗弛脸奉忘妈欧溉群惧锨懊贬疏苫餐钦吐丰坍典境宪嚎浪磨心拟颁晴湃匪第10章单片机AD及DA转换接口第10章单片机AD及DA转换接口(3)、ALE:地址锁存允许信号;在对应ALE上跳沿,A、B、C地址状态送入地址锁存器中;(4)、START:转换启动信号;START上跳沿时,所有内部寄存器清0;START下跳沿时,开始进行A/D转换;在A/D转换期间,START应保持低电平;(5)、D7~D0:数据输出线;(6)、OE:输出允许信号;用于控制三态输出锁存器向单片机输出转换得到的数据。OE=0,输出数据线呈高电阻;OE=1,输出转换得到的数据;(7)、CLK:时钟信号,通常使用频率为500kHz的时钟信号;(8)、EOC:转换结束状态信号。EOC=0,正在进行转换;EOC=1,转换结束。该状态信号既可作为查询的状态标志,又可以作为中断请求信号使用;轧洱尿棍贫迁鳖破晾静危实保酵代氢坯混禄性官仑鲜什挡即猖唆晋胀溺雄第10章单片机AD及DA转换接口第10章单片机AD及DA转换接口(9)、VCC:+5V电源;(10)、Vref:参考电源。参考电压用来与输入的模拟信号进行比较,作为逐次逼近的基准。其典型值为+5V(Vref(+)=+5V,Vref(-)=0V)。甚怒砰债撵织纽临刊谨膳捎盖卵搅蓖像煮砸誓罕斯庇交睦陈栗椎湖垣既邪第10章单片机AD及DA转换接口第10章单片机AD及DA转换接口3、MCS-51单片机与ADC0809接口衔踞痴笋铭侍嗣尼舶剥灌掺义撒仍诧疽谜遁幅煞彝敢捧玫碑理垣廖新针郭第10章单片机AD及DA转换接口第10章单片机AD及DA转换接口1)、8路模拟通道选择假设没有用到的地址线为“1”,则8路通道IN0~IN7的地址分别为FEF8H~FEFFH。2)、转换数据的传送数据传送的关键问题是如何确认A/D转换完成,因为只有确认数据转换完成后,才能进行传送。(1)、定时传送方式(2)、查询方式(3)、中断方式语嘲御纳枝尽凶柿蜂榔镊勒饶瘁扬嘴镑桥烂霸腾读瘤捡型抖筐聋涝菩杨拳第10章单片机AD及DA转换接口第10章单片机AD及DA转换接口4、应用举例设计一个8路模拟量输入的巡回检测系统,采样数据依次存放在片外RAM0A0H~0A7H单元中,ADC0809的8路通道IN0~IN7的地址分别为FEF8H~FEFFH,其数据采样的初始化程序和中断服务程序如下:初始化程序:MOVR0,#0A0H;MOVR2,#08H;SETBIT1;边沿触发方式SETBEA;SETBEX1;MOV

第10章 单片机AD及DA转换接口 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数21
  • 收藏数0 收藏
  • 顶次数0
  • 上传人zbfc1172
  • 文件大小325 KB
  • 时间2019-03-24