下载此文档

中国矿业大学徐海学院电子技术综合设计学号:电气工程及其自动化多功能数字钟电子技术综.docx


文档分类:高等教育 | 页数:约25页 举报非法文档有奖
1/25
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/25 下载此文档
文档列表 文档介绍
中国矿业大学徐海学院电子技术综合设计学号:电气工程及其自动化多功能数字钟电子技术综.docx姓名:专业:专题:设计地点:设计日期:中国矿业大学徐海学院电子技术综合设计 学号: 电气工程及其自动化多功能数字钟电子技术综合设计电工电子实验室2013年11月14日至12月28日成绩: 指导教师: 2013年12月电子技术综合设计任务书学生姓名 专业年级 学号 设计日期:2011年11月14日至2011年12月28日设计题目:多功能数字钟设计要求:主要内容:用CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0的计数电路;用CC4511七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显示电路(数码管需加限流电阻);用脉冲开关设计校准功能;用555构成多谐振荡器,实现(F=1HZ)秒脉冲信号发生器;整体电路原理图60秒(60分)及24小时…•计数、译码、显示EWB仿真图60秒(60分)及24小时…-计数、译码、显示设计原理图用PROTELL99设计原理图并打印。设计PCB版图用PROTELL99设计PCB板并打印。功能扩展要求设计:①整点报时功能 ②12小时归1计数电路指导教师签字:摘要数字钟是由计数电路、译码电路、显示电路、校准电路、信号发生脉冲电路等几部分组成。首先,针对本次设计任务,4518,构成60进制或24进制计数电路,然后进行级联组成秒、分、小吋计数。采用BCD-4511组成译码电路。采用七段共阴极数码管构成显示电路,根据RS基本触发器及单刀双掷开关来组成校准电路,每搬动一次开关产生一个计数脉冲,实现校吋功能。利用CD4060和32768的晶振构成32768hz的信号发生器,然后经过CD4060的14级分频分出2Hz,再经过CD4040的2分频分出1Hz秒脉冲,构成信号发生脉冲电路。其次,按照系统方案,运用EWB软件完成数字钟主体电路的仿真设计,用protel99软件绘制原理图,检查无误后生成PCB版,完成整个电路的设计工作。再次,进行系统电路的焊接。按照设计的原理图,先焊接主板,再焊接扩展板,然后将主板与扩展板连接。最后调试焊接完的电路板,通过不断调试,实现木次数字钟设计要求的全部功能。关键词:数字钟,振荡,计数,校正,报吋目录1数字钟的基本组成及工作原理 11」数字钟的构成 12数字钟的设计与制作 12」系统方案选择与论证 3224译码和显示电路 5225校时电路 73数字钟的扩展功能 83」定点报吋 84焊接与调试 94」介绍系统破件安装、调试屮遇到的问题 、分析存在原因 95总结及体会 96结束语 97参考文献 108附录 118」系统整体仿真图 (1HZ)进行计数的计数电路。它由振荡器、分配器、计数器、译码器和显示器电路组成。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校吋电路,同吋标准的1HZ吋间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。,秒脉冲信号输入计数器进行计数,并把累计结果以“吋”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发吋计数器电路,当计满24小吋后乂开始下一轮的循环计数。通过校时电路可以对分和时进行校时,且计时过程具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。,共讨论出两个不同的设计方案,表而上看,似乎两个方案都符合要求,但经过反复深究,并将两个方案加以比较,最终确定一个既符合本设计要求又具有比较强的可行性的方案作为此次设计的对彖。方案一:用CC4518计数器构成计数电路,用CC4511译码构成译码电路,用LG5011AH共阴数码管构成显示电路,用555构成多谐振荡器构成秒脉冲信号发生器,用发光二极管作输出显示。方案二:首先构成一•个由32768Hz的石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由74LS161采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器和七进制的周计数器。使用由32768Hz的石英晶体振荡器和由CD4060构成的分频

中国矿业大学徐海学院电子技术综合设计学号:电气工程及其自动化多功能数字钟电子技术综 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数25
  • 收藏数0 收藏
  • 顶次数0
  • 上传人pppccc8
  • 文件大小1.02 MB
  • 时间2020-09-21