下载此文档

四位全加器的VHDL设计.ppt


文档分类:IT计算机 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
四位全加器的VHDL设计
1
精选可编辑ppt
一位全加器真值表
2
精选可编辑ppt
一位全加器的逻辑表达式
S=A⊕B⊕Cin
Co=AB+BCin+ACin
其中A,B为要相加的数,Cin为进位输
入;S为和,Co是进位输出;
3
精选可编辑ppt
Library ieee;
Use ;
Use ;
Entity fulladder Is
Port(Ci,a,b : IN std_logic;
s,Co : OUT std_logic);
End fulladder;
Architecture m1 Of fulladder Is
Signal tmp: std_logic_vector(1 downto 0);
Begin
tmp<=('0' & a) + b + Ci;
s<=tmp(0);
Co<=tmp(1);
End m1;
4
精选可编辑ppt
一位全加器的数据流(逻辑)描述
Library ieee;
Use ;
Use ;
Entity fulladder is
Port (A,B,CI:in std_logic;
S,CO:out std_logic);
End fulladder;
Architecture dataflow of fulladder is
Begin
S<= CI xor A xor B;
CO<= (A and B) or (CI and A) or (CI and B);
End dataflow;
5
精选可编辑ppt
一位全加器的行为描述
Library ieee;
Use ;
Use ;
Entity fulladder is
Port (a,b,cin: In bit;
sum,cout: Out bit);
End fulladder;
Architecture behave Of fulladder Is
Begin
Process (a, b, cin)
Begin
If(a Or b Or cin)= ‘0’ Then
sum <=‘0’;
cout <=‘0’;
Elsif (a AND b AND cin ) =’1’ Then
sum <=‘1’;
cout <=‘1’;
Elsif (a XOR b XOR cin ) =’0’ Then
sum <=‘0’;
cout <=‘1’;
Else
sum <=‘

四位全加器的VHDL设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人相惜
  • 文件大小116 KB
  • 时间2021-07-29