下载此文档

总线数据传输实验报告.doc


文档分类:办公文档 | 页数:约4页 举报非法文档有奖
1/4
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/4 下载此文档
文档列表 文档介绍
总线数据传输实验报告
通信六班 2009
【实验目的简述】
通过实验使学生了解数据在计算机中是怎样传输的,熟悉总线的概念、寄存器之间数据交换的方法、三态缓冲器等,进一步掌握Quartus软件的使用,为下一步实验打下良好基础。
【实验电路图】
电路图如下:
这次的实验图中涉及到了一些新器件,如74244三态缓冲器、芯片74374、AND2,还有一个bidir,这是一个输入\输出引脚,与input、output同属于pin。开始做实验的时候就是没注意到,用input代替的bidir,导致实验结果出不来。
【实验原理(总结概述)】
总线是指一组进行互连和传输信息(指令、数据和地址)的信号线。其基本特征是不允许挂在总线上的部件同时有一个以上的部件向总线发出信息;但是,允许挂在总线上的多个部件同时从总线上接收信息。因此,为保证传输信息的正确性、唯一性,输出到总线上的部件须通过“总线电路”向总线发信息。
本次试验中,共有三个寄存器(R3、R2、R1)用于存储和接收数据,还有两个缓冲器,其中一个用于向总线输送数据,另一个连接R3和总线,用于显示R3中的数据。
【实验步骤及波型说明】
新建工程-建立Block Diagram File-按照电路图连好电路-保存、编译-建立Vector Waveform File-插入引脚-设置波形-保存、仿真。
仿真后的波形如下:
下面对波形进行详细说明:
K是输入到总线的数据;
SW_BUS、R3_BUS、R2_BUS、R1_BUS均是低电平有效,LDDR[1]、LDDR[2]、LDDR[3]均是高电平有效。当SW_BUS有效时,数据(K)由缓冲器写入总线,无效时,数据无法写入总线,输出为高阻态;当R3_BUS有效时,
寄存器R3把数据写入总线,LDDR[3]有效时,寄存器R3从总线中读入数据并储存起来,R2、R1也是同样的道理。简单地说,向总线写入数据,必须SW_BUS有效;R3从总线上读数据,必须LDDR[3]有效(R2、R1同理);R3向总线写入数据时,必须R3_BUS有效(R2、R1同理)。
0ns ~ 100ns 没有数据输入(K=00)。
100ns~200ns K=E1,SW_BUS有效,LDDR[1]有效,所以我们成功向总线写入数据E1,R1成功从总线上读入E1,并储存起来。
200ns~300ns K=00,R1_BUS有效,LDDR[3]有效,R1中的数据写入总线,R3从总线上读入数据E1。
300ns~400ns K=00,R3_BUS有效,LE显示R3中存储的数据(E1).
400ns~

总线数据传输实验报告 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数4
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mh900965
  • 文件大小175 KB
  • 时间2018-03-07