下载此文档

毕业论文(设计):EDA交通信号灯控制电路设计.doc


文档分类:通信/电子 | 页数:约53页 举报非法文档有奖
1/53
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/53 下载此文档
文档列表 文档介绍
湖南文理学院课程设计报告
课程名称: 电子技术课程设计
教学院部: 电气与信息工程学院
专业班级: 通信工程08102班
学生姓名: 张旭(200816020213)
指导教师: 李建英
完成时间: 2010 年6月25日
报告成绩:

评阅意见:
评阅教师日期
目录
方案分析与对比································2
······································2
······································2
整体设计论述··································3
单元模块设计与仿真····························6
·································6
··························7

······························9
·······························10
硬件实验方案及实验结果·······················13
·································13
·····································15
收获和体会···································15
方案分析与对比
§
通过分析可以知道,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口。能够做到主、支干道的红绿灯闪亮的时间不完全相同,在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。还要求在主、支干道各设立一组计时显示器,能够显示相应的红、黄、绿倒计时。可以利用VHDL语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。
§
实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器和单片机等方案来实现。
若用单片机方案来实现的话,模型可以由电源电路、单片机主控电路、无线收发控制电路和显示电路四部分组成。在电源电路中,需要用到+5V的直流稳压电源,无线收发控制电路和显示电路应由编码芯片和数据发射模块两部分组成,主控电路的主要元件为AT89C51。硬件设计完成后还要利用计算机软件经行软件部分的设计才能够实现相应的功能。
虽然利用单片机系统设计的交通灯控制器相对来说较稳定,能够完成较多功能的实现,但这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统设计与调试的困难。
相反,使用基于FPGA的设计方法具有周期短,设计灵活,易于修改等明显的的优点。而且,随着FPGA器件、设计语言和电子设计自动化工具的发展和改进,越来越多的电子系统采用FPGA来设计。未来,使用FPGA器件设计的产品将出现在各个领域里。因此,此次的交通信号灯控制器的设计将采用基于FPGA的设计方案来实现所要求的功能。
整体设计论述
根据设计要求和系统所具有的功能,并参考相关的文献资料,经行方案设计,可以画出如下图所示的交通信号灯控制器的系统框图。 CLK
时钟分频模块

交通灯控制及计时模块
1kHZ
扫描显示译码模块
1kHZ 1HZ
CAR
支干道车辆检测


1kHZ 数码管及LED信号
数码管段码
数码管位码
LED显示

系统的状态图如下所示
CAR='0'
S0

CAR='1' c="1001111"
CAR='0'
S4
S1
c="1001111" CAR='1'

c="0101100" c="1001010"

S2
S3
c="0110001"
S0:支干道没有车辆行驶,支干道绿灯,支干道红灯
S1:支干道有车辆行驶,支干道绿灯,支干道红灯
S2:主干道黄灯,支干道绿灯
S3:主干道红灯,支干道绿灯
S4:主干道红灯,支干道黄灯
根据以上设计思路,可以得到如下的顶层文件原理图
顶层文件的实体图:

单元模块设计与仿真
§
系统的动态扫描需要1HZ的脉冲,而系统时钟计时模块需要1HZ的脉冲。分频模块主要为系统提供所需的时钟计时脉冲。该模块将1kHZ的脉冲信号进行分

毕业论文(设计):EDA交通信号灯控制电路设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数53
  • 收藏数0 收藏
  • 顶次数0
  • 上传人yuzonghong1
  • 文件大小1.30 MB
  • 时间2018-08-20