下载此文档

单片机八路竞赛抢答器课程设计_课程设计.doc


文档分类:通信/电子 | 页数:约27页 举报非法文档有奖
1/27
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/27 下载此文档
文档列表 文档介绍
单片机八路竞赛抢答器课程设计
目录
摘要 2
1 设计方案选择及讨论 3
3
3
2硬件电路设计 5
5
5
6
6
7
7
7
3 软件编程设计 8
8
4仿真及调试 10
5焊接与实际调试 11
6心得体会 12
参考文献 13
附录I 元件清单 14
附录Ⅱ程序代码 15
摘要
抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT89C52单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-30s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。
关键词:抢答器单片机软硬件结合
1 方案设计

该系统采用51系列单片机STC89C52作为控制核心,该系统可以完成运算控制、信号识别以及显示功能的实现。由于用了单片机,使其技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改。STC89C52单片机特点如下:
第一,可靠性好:单片机按照工业控制要求设计,抵抗工业噪声干扰优于一般的CPU,程序指令和数据都可以写在ROM里,许多信号通道都在同一芯片,因此可靠性高,易扩充。
第二,单片机有一般电脑所必须的器件,如三态双向总线,串并行的输入及输出引脚,可扩充为各种规模的微电脑系统。
第三, 控制功能强:单片机指令除了输入输出指令,逻辑判断指令外还有更丰富的条件分支跳跃指令。其原理框图如下:
抢答按扭
优先编
码电路
锁存器
译码电路
译码显示
裁判控制开关
控制电路
报警电路
秒脉冲产生电路
定时电路
译码电路
显示电路
原理框图
核心控制器件89C52简介
STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash存储器。STC89C52使用经典的MCS-51内核,但做了很多的改进使得芯片具有传统51单片机不具备的功能。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得STC89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。具有以下标准功能: 8k字节Flash,512字节RAM, 32 位I/O 口线,看门狗定时器,内置4KB EEPROM,MAX810复位电路,3个16 位定时器/计数器,4个外部中断,一个7向量4级中断结构(兼容传统51的5向量2级中断结构),全双工串行口。另外 STC89C52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。最高运作频率35MHz,6T/12T可选。其引脚图如下:
单片机引脚图
2 硬件电路设计

。其工作原理为:接通电源后,裁判将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间,裁判可在抢答开始;裁判将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由裁判再次操作"开始、停止"状态开关。
单元电路设计
核心控制器件STC89C52最小系统
此次课程设计使用原码实验室的89C52最小系统板进行设计,再加上一块焊有数码管等外围模块的电路板。原码实验室的单片机最小系统已经焊接完成,其中只对需要用到的时钟晶振外部电路,中断,以及复位和一些逻辑I/O口进行电路图绘制,其他进行程

单片机八路竞赛抢答器课程设计_课程设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数27
  • 收藏数0 收藏
  • 顶次数0
  • 上传人追风少年
  • 文件大小0 KB
  • 时间2013-07-11