下载此文档

EDA基础与应用 教学课件 ppt 作者 于润伟 EDA技术项目教程4.pdf


文档分类:IT计算机 | 页数:约20页 举报非法文档有奖
1/20
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/20 下载此文档
文档列表 文档介绍
第四章计算机网络计算机网络技术应用
EDA技术项目教程
主编:于润伟
renshaobin523@
第4章数字系统设计入门
第4章数字系统设计入门
本章要点
逻辑电路设计
QuartusⅡ软件的应用
VHDL程序设计
第4章数字系统设计入门
数据比较器
同比较器
Ⅱ软件的图形输入方
式,设计一位二进制数字的同比较器,完成编
译和波形仿真后,下载到实验平台验证电路功
能。
、B,
用Y表示比较结果。若两数相等,输出1;两数
不等输出0。
第4章数字系统设计入门

(1)在计算机的F盘,建立文件夹F:\ EXAM411作为项目文件夹,
PA、PA。
(2)启动QuartusⅡ,单击【Create a New Project】按钮打开新项
目建立向导,在新项目建立向导对话框中分别输入项目文件夹、项
目名和顶层设计文件名。
(3)由于采用图形输入方式,在添加文件对话框的File name中输
,然后单击【Add】按钮,添加该文件。
(4)在器件设置对话框中选择ACEX1K系列的EP1K30TC144-1芯
片;在EDA工具设置对话框中选择None,使用QuartusⅡ自带的工
具。
(5)单击【Finish】按钮,关闭新项目建立向导。注意:软件的标
题栏必须变为F:/ EXAM411/ COMPA- COMPA。
第4章数字系统设计入门

(1)编辑。单击File→New选项,选中Block
Diagram/Schematic File,单击【OK】按钮,打开图
形编辑器窗口。
(2)打开图形文件编辑窗口,根据同比较器的逻辑表达
式,依次输入1个XNOR(同或门)、2个INPUT (输
入管脚)和1个OUTPUT(输出管脚)。将此图形文
件按默认名称(即COMPA),保存在EXAM411文件
夹下。
(3)编译。单击Processing→pilation选项,
启动全程编译。如果设计中存在错误,可以根据
piler窗口所提供的信息进行修改,重新
编译,直到没有错误为止。
第4章数字系统设计入门

(1)单击File→New选项,选中Vector Waveform File选
项,单击【OK】按钮,建立波形输入文件。
(2)单击Edit→End Time选项,设定仿真时间为2微秒;
单击Edit→Grid Size选项,设定仿真时间周期为40纳
秒。将波形文件以默认名存入文件夹F:\ EXAM411文
件夹下。
(3)单击View→Utility Windows→Node Finder选项,
加入元件管脚;调整波形坐标间距后,利用波形编辑
按钮,分别给输入管脚编辑波形。
(4)单击Processing→Start Simulation选项,启动仿真
器。
第4章数字系统设计入门

(1)单击Assignments→Assignments Editor选项,出
现配置编辑器窗口,单击Category输入框右侧的下拉
按钮,从中选择Pin选项。根据使用实验箱的具体情况
锁定管脚。
(2)再次编译成功后,就可以将锁定的管脚信息加入到
设计文件中。
(3)使用电缆将计算机和实验箱连接,接通实验箱电源。
单击Tools→Programmer选项,在编程窗口中进行硬
件配置,可选择LPT1接口输出的ByteBlasterMV or
ByteBlasterⅡ硬件类型,编程方式选中JTAG编程方式。
(4)在编程窗口中,,再单击
【Start】按钮,即可开始对芯片编程。
第4章数字系统设计入门
大小比较器
Ⅱ软件的图形输入方
式,设计一位二进制数字的大小比较器,完成
编译和波形仿真后,下载到实验平台验证电路
功能。
、B,
用Y表示比较结果。若A>B,则Y1=1、Y2=0、
Y3=0;若A=B,则Y1=0、Y2=1、Y3=0;若
A<B,则Y1=0、Y2=0、Y3=1。
第4章数字系统设计入门
加法器的设计
半加器
只考虑两个加数本身的相加,不考虑来自低位的进位,
这样的加法运算称为半加,实现这种运算的逻辑电路
称为半加器。半加器可对两个一位二进制数进行加法
运算,同时产生进位。

EDA基础与应用 教学课件 ppt 作者 于润伟 EDA技术项目教程4 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数20
  • 收藏数0 收藏
  • 顶次数0
  • 上传人ouyangxiahe
  • 文件大小0 KB
  • 时间2015-10-13