下载此文档

基于FPGA的数字频率计实验评测研究报告(能测占空比).doc


文档分类:研究报告 | 页数:约31页 举报非法文档有奖
1/31
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/31 下载此文档
文档列表 文档介绍
膃基于FPGA地数字频率计设计袃学院:蚁专业:荿班级:芅姓名:羁学号:膀审阅老师:袅评分:莆目录莄一、课程设计目地3b5E2RGbCAP蕿二、设计任务3p1EanqFDPw薅三、功能要求与技术指标3DXDiTa9E3d肃四、:12xHAQX74J0X膅一、课程设计目地薀熟悉EDA工具,掌握用VHDL语言进行数字系统设计地基本方法和流程,、设计任务肆设计一数字频率计,用VHDL语言描述,用QuartusII工具编译和综合,、功能要求与技术指标羃基本功能要求袇能够测量出方波地频率,其范围50Hz~±(1)~(4),如10Hz~100KHz或更高、更低频率,提高频率地测量绝对值误差,如达到±,如X1档(显示范围1Hz~9999Hz),X10档(~),X100档(~)...,,(1)~(3)、数字频率计工作原理概述薂数字频率计简介莀在电子技术中,频率是最基本地参数之一,并且与许多电参量地测量方案、测量结果都有十分密切地关系,:,需要有标准倍地频率,在待测信号地一个周期内,记录标准频率地周期数,这种方法地计数值会产生最大为±1个脉冲误差,并且测试精度与计数器中记录地数值有关,为了保证测试精度,,,这种方法地计数值也会产生最大为±:设待测信号脉冲周期为Tx,频率为Fx,当测量时间为T=1s时,测量相对误差为Tx/T=Tx=1/:当待测信号频率较高时,测量准确度也较高,,具体实施方法如下:芈直接测频法:薈采用一个标准地基准时钟,在单位闸门时间(1s)里对被测信号地脉冲数进行计数,,这种方法地计数值也会产生最大为±:设待测信号脉冲周期为Tx,频率为Fx,当测量时间为T=1s时,测量相对误差为Tx/T=Tx=1/:当待测信号频率较高时,测量准确度也较高,:蚈1).基本功能部分:艿 (1).原理概述:袄利用FPGA实验板地基准时钟50M分频产生一个1Hz地脉冲方波作为闸门信号;kavU42VRUs蒃当待测信号地上升沿到来时,利用四个个十进制计数器(即 最高能记到9999次数)对其上升沿进行计数;y6v3ALoS89莁计数地同时不断把数锁存,并送到数码管显示部分显示;螅当1Hz闸门信号地上升沿到来时,对锁存送到显示部分地数 据用十进制显示到四位数码管,一旦显示后就把四个计数器 地值清零,计数器重新对待测信号上升沿计数并锁存,直到 1Hz闸门信号地下个上升沿到来时在把这次闸门时间内记到 , (2)设计原理时序图:螀薅1Hz闸门信号羇然后计数器清零clr=1,重新计数羄锁存计数值,并送数码管显示蒄1秒时间宽度薀待测信号肈清零信号clr0YujCfmUCw莇2).扩展功能部分:袃(1).原理概述:芀一、分档显示部分聿在基本功能地计数部分增加至八个计数器()对待测信号上升沿进行计数;eUts8ZQVRd蒅对记到地数据按优先级由最高位往低位判断,若第七位有进位,则表示第八个计数器有计数

基于FPGA的数字频率计实验评测研究报告(能测占空比) 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数31
  • 收藏数0 收藏
  • 顶次数0
  • 上传人在水一方
  • 文件大小3.38 MB
  • 时间2019-04-10
最近更新