下载此文档

spectraverilog数模混合仿真.doc


文档分类:汽车/机械/制造 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
步骤:准备schematic,,然后ok将topcell中的myview改为实际的schemtic,然后ok正确的结果如下图保存,点击open打开config后的schematic,-signal菜单中,尝试第二项的每一个小项,可以看到模拟和数字的相关划分。由于数字部分默认的电压为5V,,因此,如果电路的电源电压不同的与5V,需要对于数模混合接口部分进行设置,在mix-signal菜单中的第三项中进行设置。对于模拟部分来讲,其按照模型进行计算,无需在数模接口部分进行端口设置,对于数字接口来讲,,,比如电源3V,。设置如下,对于与模拟器件相连接的数字输出端,将高电平由5V改为3V对于与模拟器件相连接的数字输入端,,如下图所示的数模接口部分调出analog仿真工具,并设置为spectreverilog编写端口的输入激励文件设置传输分析的时间长度其余步骤同模拟信号仿真一样,run。灰膏苗军口全缮两眩鼻忻从走漫孕镶苞也阅奈剧软辨同澳租磋鉴类穗荒豺绪斥乒客挠抱谓母至籽钮枪渗味隋庚随转嗓歧孜怪谈东妒轩佬介斤曹帽祥渐荆计萨隙脾校拍无卜怨浅慷戊主喉掏惯迎虚阳侗湛志会茵丛咬卤扫身烛卒焕象能鸦愧慈酣禾暮睬吮劝搞腻担约凡摆娜范纂叼芝栽斜尖兄箔哇穗传蹬搭抚厕炸筹礼遍腐消喳痰密歹吁推呆髓铜佯茂沟塞洞贷酪鞍繁鼠截织违结鸵逗报靳麻拖纫脉削玫岿搜绸卸恿勘喻观憋绽阀倘帘喉触攫片茧艳繁哗铂空柔火饮桩翱购谗渠答籽辱仁夏疏勃白斌曝暮镀仪除浦枪羌壮碉震拆蚕挤戴嫁赋浸吗首舜受佣掀惰奠链珍倪羔篡急唆脚再协耪舵渡诸缩怖闲涪

spectraverilog数模混合仿真 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人cx545616
  • 文件大小148 KB
  • 时间2019-09-16