下载此文档

四位二进制除法器.doc


文档分类:IT计算机 | 页数:约3页 举报非法文档有奖
1/3
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/3 下载此文档
文档列表 文档介绍
四位二进制除法器设计李道通**********、设计方法采用移位相减法设计二进制除法器:被除数和除数都是二进制数,采用将除数移位的方法。1)判断除数是否零:如果除数为零,返回等待;2)除数不为零时,C左移一位,将被除数A的最高位赋值给C的最低位,A左移一位,将最低位赋值为零;3)判断C和除数B的大小,若C>=B,这C=C-B,且A的最低位赋值为1。4)如此循环四次,得到的A即为商,得到的C为余数。该算法的好处在于被除数和商公用一个寄存器A,节省资源。2、算法流程图图中:被除数和除数分别放在A、B中,商余数分别放在A和C,N为计数器3、VHDL程序代码:LIBRARYIEEE;;;;;ENTITYldt_chufaqiISPORT(A,B:INSTD_LOGIC_VECTOR(3DOWNTO0);C,D:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDENTITYldt_chufaqi;ARCHITECTUREBEHAVOFldt_chufaqiISBEGINS1:PROCESS(A,B)VARIABLEN:INTEGER;VARIABLETEMP_A,TEMP_B,TEMP_C:STD_LOGIC_VECTOR(3DOWNTO0);BEGINTEMP_A:=A;TEMP_B:=B;TEMP_C:="0000";N:=0;IF(B>"0000")THENWHILE(N<4)LOOPTEMP_C:=TEMP_C(2DOWNTO0)&TEMP_A(3);TEMP_A:=TEMP_A(2DOWNTO0)&'0';

四位二进制除法器 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
最近更新