下载此文档

电子幸运转盘的制作报告.doc


文档分类:IT计算机 | 页数:约13页 举报非法文档有奖
1/13
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/13 下载此文档
文档列表 文档介绍









制作人:江湖哥
目 录
一设计思路与方案 3
(一)、设计思路 3
(二)、设计方案 3
二、电路的设计原理 3
三、电子转盘的功能及玩法 3
四、芯片介绍 4
(1)555 4
(2)74ls192 5
(3)74LS85 6
(4)74HC154 6
(5)CD4511 7
五、电子转盘电路原理图及效果图 8
(1)、电子转盘的电路原理图 8
(2)、电子转盘制作完毕后的效果图 9
六、调试 9
七、总结 9
一设计思路与方案
(一)、设计思路
根据电子转盘游戏器的功能要求,将电路划分为四个单元功能模块,即时钟信号发生模块、译码驱动LED 数码管显示模块、十进制计数模块和开关等逻辑控制。
(二)、设计方案
本电路由555组成的多谐振荡器和74LS192十进制计数,74LS85比较器,74HC154译码器,CD4511译码器,数码管组成。10颗发光二极管模拟幸运物,当按下启动键1秒以上,发光二极管高速循环点亮,几秒钟后旋转速度越来越慢并最终随机停止于某颗灯上。可以将每颗灯旁边标上幸运物品作为摇奖器。C3的数值决定延迟时间,C2的数值决定循环速度。电源供电电压为直流5V,。
二、电路的设计原理
脉冲产生器由NE555及外围元件构成多谐振荡器,当按下按键S1时Q1导通,NE555的3脚输出脉冲,则74LS192的输入端5脚得到信号,输出端2,3,6,7脚输出信号 ,然后输入给74HC154的20,21,22,23脚,74HC154的1脚到10接发光二极管。松开按键后,由于有电容C3的存在,Q1不会立即截止,随着C1两端电压的下降,Q1的导通程序逐渐减弱,3脚输出脉冲的频率变慢,LED移动频率也随之变慢。最后当C2放电结束后。Q1截止,NE555的3脚不再输出脉冲,LED停止移动。一次“开奖”过程就这样完成了。
三、电子转盘的功能及玩法
游戏转盘就是先预测旋转中的圆盘停止时,到底会停在哪个位置的工具。也可用作估游戏,电子骰子,抽奖机等。电子幸运转盘就是以电子的方式达成相同的功能,本套件把10只LED配置成一个圆圈,当按一下按键后,每只LED顺序轮流发光,流动速度越来越慢,最后停在某一只LED上不再移动。若最后发亮那个LED与玩家预测的相同,则表示“中奖”了。
四、芯片介绍
(1)555
55 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2V
CC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为低电平。
它的各个引脚功能如下:
1脚:外接电源负端VSS或接地,一般情况下接地。
2脚:低触发端TR。
3脚:输出端Vo
4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为"0",该端不用时应接高电平。
5脚:VC为控制电压端。若此端外接电压,则可改变部两个比较器的基准电压,当该端不用时,,以防引入干扰。
6脚:高触发端TH。
7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。
8脚:外接电源VCC, ~ 16V,CMOS型时基电路VCC的围为3 ~ 18V。一般用5V
(2)74ls192
(3)74LS85

A0-A3 字A输入端
B0-B3 字B输入端
A>B A>B 级联输入端
A=B A=B 级联输入端
A<B A<B 级联输入端
FA=B A等于B输出端
FA>B A大于B输出端
FA<B A小于B输出端
(4)74HC154
74HC154译码器可接受4位高有效二进制地址输入,并提供16个互斥的低有效输出。74HC154的两个输入使能门电路可用于译码器选通,以消除输出端上的通常译码"假信号",也可用于译码器扩展。该使能门电路包含两个"逻辑与"输入,

电子幸运转盘的制作报告 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数13
  • 收藏数0 收藏
  • 顶次数0
  • 上传人wdggjhm62
  • 文件大小3.16 MB
  • 时间2021-01-03