下载此文档

【精品】【创新实验】基于VHDL的二进制与十进制之间的相互转换.doc


文档分类:IT计算机 | 页数:约24页 举报非法文档有奖
1/24
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/24 下载此文档
文档列表 文档介绍
【精品】【创新实验】基于VHDL的二进制与十进制之间的相互转换.doc《创新实验》
寸编程逻辑设计基确
实验题
二逬制与十逬制M间的相亙祷换
指导裁师:同克峰
小组廉灵:
十进制-二进制转换的编码器是将十进制数0、1、2、3、4、 5、6、7、8、9等十个信号编成二进制代码的电路。它的输入是 代表0〜9这个10个数符的状态信号,有效信号为1,输出是响 应的BCD码,其特点是任何吋刻只允许输入一个有效信号。
二进制-十进制转换的编码器是十-二进制转换的逆过程,它 的功能是将输入的一位BCD码译成10个高、低电平输出信号。 当输入一个BCD码时,就会在它所表示的十进制数的对应输出端 产生一个低电平有效信号。
在本次的实验中,主要使用开发软件ISE和芯片Xilinx
Spartan-II来实现二进制与十进制之间的相互转换。
一、 概述 5
1、 PLD/FPGA结构与原理 5
1) PLD/FPGA 原理
2) 杳找表(Look-Up-Table)的原理与结构
2、 开发软件与芯片 5
1) 开发软件
2) 芯片介绍
二、 算法设计原理 6
1、 十进制一二进制的转换
2、 二(BCD)—十进制的转换
三、 工程开发流程 7
(一) 工程开发流程 7
1、 设计输入
2、 综合
3、 实现
4、 验证
5、 下载
(二) 实际运用过程 9
1、 设计输入 9
1) 建立源文件
2) 输入原理图
3) 行为仿真
2、 设计实现 15
1) 吋序仿真
2) 产生报告并修改设计
3、配置或编程 17
1) 编程器件
2) 验证电路
3) 下载
四、 实验总结 20
五、 参考文献 21
一、概述
1、 PLD/FPGA结构与原理
PLD/FPGA 原理:
PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场 可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同, 只是实现原理略有不同,所以我们有吋可以忽略这两者的区别,统称为可编程逻 辑器件或PLD/FPGAo
FPGA采用了逻辑单元阵列LCA (Logic Cell Array)这样一个新概念,内部 包括可配置逻辑模块CLB(Configurab 1 e Logic Block)、输出输入模块IOBCInput Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要 有:
>采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。
FPGA可做其它全定制或半定制ASIC电路的中试样片。
FPGA内部有丰富的触发器和I/O引脚。
FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之
O
FPGA采用高速CHM0S工艺,功耗低,可以与CMOS、TTL电平兼容。
可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。
FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需 要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。
加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA 进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能 够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM, PROM 编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片 FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵 活。
杳找表(Look-Up-Table)的原理与结构
杳找表(Look-Up-Table)简称为LUT, LUT本质上就是一个RAM。目前FPGA 中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的 RAMO当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发 软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每 输入一个信号进行逻辑运算就等于输入一个地址进行杳表,找出地址对应的内 容,然后输出即可。
2、 开发软件与芯片
开发软件
许多PLD公司都提供免费试用版或演示版,女口: Altera公司提供的 QuartusII (web版,X订inx公司提供的免费软件ISE WebPack, Lattice提 供的isplever Base版下载,Actel等公司也都有类似的免费软件提供。
本次实验中,使用的软件为Xilinx公司提供的ISE软件。
芯片介绍
FPGA的主要生产

【精品】【创新实验】基于VHDL的二进制与十进制之间的相互转换 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数24
  • 收藏数0 收藏
  • 顶次数0
  • 上传人小健
  • 文件大小1016 KB
  • 时间2021-07-25