下载此文档

VHDL各种D触发器程序.doc


文档分类:通信/电子 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
第一题:普通触发器
LIBRARY IEEE;
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT CESS (SCLK,CLK,Q1)
BEGIN
IF CLK'EVENT AND CLK='1' THEN
IF SCLK='1' THEN
Q1<='0';
ELSE Q1<=D;
END IF;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第四题:异步置位apre
LIBRARY IEEE;
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT (
CLK : IN STD_LOGIC;
D : IN STD_LOGIC;
Q :OUT STD_LOGIC;
APRE :IN STD_LOGIC
);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (APRE,CLK,Q1)
BEGIN
IF APRE='1'
THEN Q1<='1';
ELSIF CLK'EVENT AND CLK='1'
THEN Q1<=D;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第五题:同步置位spre
LIBRARY IEEE;
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT (
CLK : IN STD_LOGIC;
D : IN STD_LOGIC;
Q :OUT STD_LOGIC;
SPRE :IN STD_LOGIC
);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (SPRE,CLK,Q1)
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
BEGIN
IF CLK'EVENT AND CLK='1' THEN
IF SPRE='1' THEN
Q1<='1';
ELSE Q1<=D;
END IF;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第六题:异步清零,异步置位
LIBRARY IEEE;
USE IEEE、STD_LOGIC_1164、ALL;
ENTITY Dchu IS
PORT (
CLK : IN STD_LOGIC;
ACLR : IN STD_LOGIC;
APRE : IN STD_LOGIC;

VHDL各种D触发器程序 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人幻影
  • 文件大小62 KB
  • 时间2022-02-13