下载此文档

VHDL各种D触发器程序.docx


文档分类:通信/电子 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
第一题 : 普通触发器
LIBRARY IEEE;
USE Dchu IS
PORT (CLK,D:IN STD_LOGIC;
Q:OUT STD_LOGIC);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (CLK,Q1)
BEGIN
IF CLK'EVENT AND CLK='1'
THEN Q1<=D;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第二题:异步清零触发器
LIBRARY IEEE;
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
USE Dchu IS
PORT (CLK,D:IN STD_LOGIC;
Q:OUT STD_LOGIC;
ACLK:IN STD_LOGIC);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (ACLK,CLK,Q1)
BEGIN
IF ACLK='1'
THEN Q1<='0';
ELSIF CLK'EVENT AND CLK='1'
THEN Q1<=D;
END IF;
END PROCESS;
Q<=Q1;
END FFQ;
第三题:同步清零触发器
LIBRARY IEEE;
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
USE Dchu IS
VHDL各种D触发器程序
VHDL各种D触发器程序
VHDL各种D触发器程序
PORT (CLK,D:IN STD_LOGIC;
Q:OUT STD_LOGIC;
SCLK:IN STD_LOGIC);
END;
ARCHITECTURE FFQ OF Dchu IS
SIGNAL Q1:STD_LOGIC;
BEGIN
PROCESS (SCLK,CLK,Q1)
BEGIN
IF CLK'EVENT AND CLK='1' THEN
IF SCLK='1' THEN
Q1<='0';
ELSE Q1<=D;
END IF;
END IF;
END PROCESS;
Q

VHDL各种D触发器程序 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人飞行的大米
  • 文件大小68 KB
  • 时间2021-12-15