下载此文档

数字逻辑电路期末考试试卷及答案.doc


文档分类:高等教育 | 页数:约11页 举报非法文档有奖
1/11
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/11 下载此文档
文档列表 文档介绍
数字逻辑电路期末考试模拟试题(答案) 考试科目:数字逻辑电路试卷类别: 3卷考试时间: 110 分钟姓名学号毛题号一二三四总分得分一、选择题(每小题 2分,共 20 分) ( 273 ) 8中,它的第三位数 2的位权为___B___ 。 A. (128) 10B. (64) 10C. (256) 10D. (8) 10 CBCA AB F???, 与它功能相等的函数表达式_____B____ 。 A. AB F? AB F?? AB F?? AB F?? ,采用____C____ 可以将减法运算转化为加法运算。 B. ASCII D. BCD 码 ,其反映的逻辑关系是___B_____ 。得分评卷人 1985 个1的结果是____B_____ 。 DCBAF????功能相等的表达式为___C_____ 。 ???? ???? ? ??? 7 .下列所给三态门中,能实现 C=0 时, F= AB ; C=1 时, F 为高阻态的逻辑功能的是____A______ 。F C B A& EN D C B AF & EN A B F C B A& EN F C B A& EN C 8. 如图所示电路,若输入 CP 脉冲的频率为 100KHZ ,则输出 Q的频率为_____D_____ 。A. 500KHz B. 200KHz C. 100KHz D. 50KHz ,属于时序部件的是_____A_____ 。 10 . 下图是共阴极七段 LED 数码管显示译码器框图,若要显示字符“5”, 则译码器输出 a~g应为____C______ 。 A. 0100 1 00 B. 11000 11 C. 1011 0 11 D. 0011 0 11 共阴极 LED 数码管 ABCD abcdefg 译码器 g fd ec ab CP QQ DC 二、填空题(每小题 2分,共 20 分) L电路的电源是__5 __V,高电平1对应的电压范围是__ -5 ____ V。 12. N 个输入端的二进制译码器,共有___ N2 ____ 个输出端。对于每一组输入代码,有____ 1 ____ 个输出端是有效电平。 13. 给 36 个字符编码,至少需要____ 6 ______ 位二进制数。 14. 存储 12 位二进制信息需要___ 12 ____ 个触发器。 15. 按逻辑功能分类,触发器可分为__ RS ___ 、__D __、__ JK __、_T_ 等四种类型。 16. 对于 D 触发器,若现态 Q n=0 ,要使次态 Q n+1 =0, 则输入 D= __0 _____ 。 17. 请写出描述触发器逻辑功能的几种方式___ 特性表、特性方程、状态图、波形图____ _____ 。 18. 多个集电极开路门( OC 门)的输出端可以_____ 线与_______ 。 触发器的特性方程是___ nnQTQ???1 _____, 当 T=1 时, 特性方程为___ nnQQ??1 _____, 这时触发器可以用来作___2 分频器_____ 。得分评卷人 20 .构造一个十进制的异步加法计数器,需要多少个__4 ____ 触发器。计数器的进位 Cy 的频率与计数器时钟脉冲 CP 的频率之间的关系是____1 ﹕ 10_________ 。三、分析题(共 40 分) 21 .(本题满分 6分) 用卡诺图化简下列逻辑函数??) 15 , 14 , 13 , 12 , 10 ,9,8,2,1,0(),,,(mDCBAF 解:画出逻辑函数 F的卡诺图。得到 DBDACBCA AB F????? 22 . (本题满分 8 分) 电路如图所示, D 触发器是正边沿触发器,图中给出了时钟 CP 及输入 K的波形。(1)试写出电路次态输出 1?nQ 逻辑表达式。(2)画出 QQ, 的波形。解: nnQKDQ????1 得分评卷人 CD AB 00 01 11 10 00111 01 111111 10111Q CP KDQCQ =1QQ Q K CP 23 .(本题满分 10 分) 分析图示逻辑电路,求出 F的逻辑函数表达式, 化简后用最少的与非门实现之,并画出逻辑电路图。解:CBACBA ABC CBACBA ABC CBCBCACB BC A CBCBCACBCBA CBCBC

数字逻辑电路期末考试试卷及答案 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数11
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mh900965
  • 文件大小228 KB
  • 时间2017-02-19