下载此文档

数字电路多路彩灯课程设计报告.doc


文档分类:办公文档 | 页数:约14页 举报非法文档有奖
1/14
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/14 下载此文档
文档列表 文档介绍
数字逻辑课程设计报告——多路彩灯控制器学院名称: 计算机学院学生姓名: 冯佳新( 24) 专业名称: 计算机科学与技术班级: 计科 110 2 实****时间: 2013 年6月3日—— 2013 年6月 14日一. 实验目的 ,学会将数电理论用于实际电路中去。 ,并掌握其基本原理,学会使用它们进行电路设计。 ,学会科学分析和解决问题。 ,并使用万用表进行调试排错,提高动手操作能力。 ,初步学会自主设计、连接、调试数字电路。 。二. 实验要求 8路移存型彩灯控制器,要求: (1) .8路彩灯能演示三种花型(花型自拟)。(2). 彩灯用发光二极管代替(3) .选做:实现快慢两种节拍的变换。 (1).设计电路实现题目要求。(2).电路在功能相当的情况下设计越简单越好。(3).注意布线,要直角连接,选最短路径,不要相互交叉。(4).注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。(5). 每个单元电路先独立组装,独立测试,功能正确后,单元电路之间才能互联。(6). 所有发光二极管都要接限流电阻,阻值在 100 -500 Ω之间。(7).所有器件的多余输入端不允许悬空,请按实际情况做合适的处理。(8) .电路中的高电平正常情况下>3V ,低电平正常情况下< 。介于二者之间的电平为非正常电平,会造成逻辑错误。(9) .严禁带电插、拔元件及导线。三. 实验所需器材器件数量器件数量 555 1 面包板 1 74LS 741 发光二极管 8 74LS 161 2 74LS00 2 74LS 194 2 74LS151 1 电阻 100 Ω2电容 μf 1 电阻 Ω1 电容 μf1 电阻 150k Ω1 钳子 1 万用表 1 导线若干四. 实验原理利用 555 定时器产生周期为 秒的 CP 脉冲,再经过 74LS74 (双上升沿触发器)将其二分频产生 秒节拍,再用 74LS151 八选一数据选择器选择一种节拍,用74LS74 和74LS151 级联交替产生快慢节拍。用两片 74LS16 1 级联控制 74LS 194 实现不同的花型,并使用反馈清零法,再用两片 74LS 194 控制 8盏灯的明暗变化。五. 实验设计及电路图 1. 时钟信号电路有一片 555 加上适当电容及电阻实现。电容取: μf 电阻取: 150 kΩ电路图如下: 时钟信号电路节拍控制电路花型控制电路花型演示电路 2. 节拍控制电路使用 74LS74( 双上升沿触发器)将其二分频产生 节拍,再用 74LS151 通过0或1控制输出端脉冲的频率交替输出这两种节拍,用 74LS74 和74LS151 级联交替产生快慢节拍,其结构图如图所示: Q是 74 LS74 触发器的输出端,D触发器具有记忆功能,记录上一个状态,所以在每一个 CP 脉冲的上升沿, Q输出为上一次的记录(即一个脉冲) ,即就是比时钟信号电路的 CP 脉冲慢了一拍。 ,CLR ,PR都接高电平,将^Q的输出接到 D端,Q端的输出接到 151 的D1端。令 151 的D0,D2,D3,D4,D5,D6,D7,B,C,^G,GND 接低电平,Vcc 接高电平,D0接时钟信号的 CP脉冲,A端接由花型控制电路的 QF输出。所以通过 A为 0或 1选择 Y端输出的脉冲的频率。 A端接的是 161 的高位片的 QF即当到达第 32拍时 QF为 1,接下来的 32~64拍为变慢后的脉冲输出。电路图如下: 74LS161 是四位二进制同步加法计数器,具有计数、异步清零、同步置数, 保持等功能,其引脚图其功能表如下图: 一片 74LS161 只能计数从 0000~1111 ,但两片 74LS161 级联可以实现从 0~255 计数,因为 64(32快拍加上 32慢拍)>16 ,所以采用两片 74LS161 同步级联,64转换成二进制为 01000000 ,所以用高位片的Q3(即QG)通过非门以后接到清零控制端。当三种花型全新显示一遍后(总共 32拍) ,74LS 161 的输出变为 00100000 , 所以将 161 高位片的 Q2(即 QF)信号输给节拍控制电路的 151 的A来通过节拍控制电路改变第二遍花型显示的频率。161 的CP脉冲来自节拍控制电路中 74的输出端 Y。电路图如下: 194 级联实现。其八个输出信号端连接

数字电路多路彩灯课程设计报告 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数14
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mh900965
  • 文件大小733 KB
  • 时间2017-05-02