下载此文档

EDA五人表决器.doc


文档分类:办公文档 | 页数:约5页 举报非法文档有奖
1/5
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/5 下载此文档
文档列表 文档介绍
实验七:五人表决器的设计实验
姓名: 学号:同组成员:
:
熟悉QII软件使用;练****数字电路的完整设计过程。
:
五人表决器,即五人参与表决,超过半数投赞成票(三人或三人以上按1),表决通过是;否则不通过。
:
简要记录实验步骤即可。
列出真值表
创建新设计项目
创建HDL源文件
仿真
管脚锁定
下载
电路功能验证
:
1. 写出设计过程(真值表、简化结果)
2. 实验结果(包括RTL电路图和波形仿真结果)
3. 程序代码
5人表决器真值表
A
B
C
D
E
Y
A
B
C
D
E
Y
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
1
0
1
0
0
0
1
0
0
0
0
1
0
0
1
0
0
1
0
0
0
0
0
1
1
0
1
0
0
1
1
1
0
0
1
0
0
0
1
0
1
0
0
0
0
0
1
0
1
0
1
0
1
0
1
1
0
0
1
1
0
0
1
0
1
1
0
1
0
0
1
1
1
1
1
0
1
1
1
1
0
1
0
0
0
0
1
1
0
0
0
0
0
1
0
0
1
0
1
1
0
0
1
1
0
1
0
1
0
0
1
1
0
1
0
1
0
1
0
1
1
1
1
1
0
1
1
1
0
1
1
0
0
0
1
1
1
0
0
1
0
1
1
0
1
1
1
1
1
0
1
1
0
1
1
1
0
1
1
1
1
1
0
1
0
1
1
1
1
1
1
1
1
1
1
1
library ieee;
use ;
use ;
use ;
entity biao is
port(a:in std_logic_vector(4 downto 0);
Q: out std_logic);
end biao;
architecture arch of biao is
begin
process(a)
begin
case a is
when "00000"=> Q <= '0';
when "00001"=> Q <= '0';
when "00010"=> Q <= '0';
when "00011"=> Q <= '0';
when "00100"=> Q <= '0';
when "00101"=> Q <= '0';
when "00110"=> Q <= '0';
when "00111"=> Q <= '1';
when "01000"=> Q <= '0';
when

EDA五人表决器 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数5
  • 收藏数0 收藏
  • 顶次数0
  • 上传人sxlw2017
  • 文件大小60 KB
  • 时间2018-03-22