下载此文档

EDA 五人表决器.docx


文档分类:高等教育 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
西安欧亚学院
信息工程学院
电子技能训练实训报告
2014--2015学年第二学期
专业班级: 统本通信1301班
姓名学号: 侯伟
08
指导教师: 张秀芳
实训成绩:
目录
1. 设计任务 3
2. 设计目的 3
3. 设计要求 3
4. 任务分析 3
5. 程序代码 4
6. 设计仿真 5
7. 实验总结 5
设计任务
设计一个5人表决器,参加表决者7人,同意为1,不同意为0,同意者过半则表决器通过,最右侧灯亮;表决不通过则最左侧指示灯亮。
设计目的
(1)学****使用EDA开发工具QUARTUSⅡ。
(2)学会使用VHDL语言设计五人多数表决器。
(3)熟悉五人多数表决器的原理。

(1)五人多数表决逻辑:多数通过。
(2)在主持人控制下,10秒内表决有效。
(3)采用数码管显示表决10秒倒计时。
(4)表决结束后用发光二极管结果形式:通过,不通过。
(5)设主持人控制键、复位键。
控制键:启动表决;复位键:系统复位。
任务分析
五人多数表决,只要在规定时间内,赞***数大于或等于四,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有4个以上(含4个)为“1”时。则表决器输出为“1”;否则为“0”。
程序代码
library ieee;
use ;
use ;
entity bjq is
port(
A1,A2,A3,A4,A5,A6,A7:in std_logic;
Q1,Q2:out std_logic;
B1,B2,B3,B4,B5,B6:out std_logic
);
end;
architecture bhv of bjq is
signal z:std_logic;
begin
process(
A1,A2,A3,A4,A5,A6,A7)
variable s:integer range 0 to 7;
begin
s:=0;
if A1='1'then s:=s+1;end if;
if A2='1'then s:=s+1;end if;
if A3='1'then s:=s+1;end if;
if A4='1'then s:=s+1;end if;
if A5='1'then s:=s+1;end if;
if A6='1'then s:=s+1;end if;
if A7='1'then s:=s+1;end if;
if s>3 then z<='

EDA 五人表决器 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人s0012230
  • 文件大小51 KB
  • 时间2018-09-21
最近更新