下载此文档

硬件描述语言VHDL概述硬件描述语言VHDL概述.ppt


文档分类:IT计算机 | 页数:约160页 举报非法文档有奖
1/160
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/160 下载此文档
文档列表 文档介绍
《硬件描述语言VHDL概述》
硬件描述语言VHDL概述
VHDL基本结构
数据对象、类型及运算符
顺序语句、并行语句
子程序
程序包与设计库
《硬件描述语言VHDL概述》
HDL最早是Iverson公司于1962年提出,到上世纪80年代被各个ASIC芯片厂商广泛采用。1982年各ASIC芯片厂商相继开发出自己的HDL。如Silvar-lisco公司的HHDL、Zycad公司的ISP、Gateway Design Automation(现改为Cadence Design System)公司的Verilog等等。另外,还有许多一些高等院校及科研机构也开发了许多版本的HDL,有名的有美国国防部开发的VHDL(VHSIC HDL)、日本电子工业振兴协会的UDL/I等。
硬件描述语言(Hardware Description Language,HDL)
为了标准化HDL,1987年底,IEEE确认美国国防部开发的VHDL为标准硬件描述语言(IEEE-1076)。之后,各EDA公司研制的硬件电路设计工具逐渐向VHDL靠拢。1993年,IEEE对VHDL进行了修订,公布了新版本的VHDL(即IEEE-107-1993)。现在VHDL和Verilog作为IEEE的两个标准。
《硬件描述语言VHDL概述》
VHDL语言是美国国防部在20世纪80年代初为实现其高速集成电路计划(Very High Speed Integrated Circuit,VHSIC)而提出的硬件描述语言(Hardware Description Language,HDL),称为VHDL。
VHDL的主要优点是:
,描述能力强,是一个多层次的硬件描述语言。
,即可以被计算机接受,也容易被理解。
3. 生命期长。VHDL的硬件描述与工艺技术无关,不会因工艺变化而使描述过时。与工艺技术有关的参数可通过VHDL提供的属性加以描述,工艺改变时,只需修改相应程序中的属性参数即可。
《硬件描述语言VHDL概述》
,一个大规模设计不可能一个人独立完成,它将由多人,多项目组来共同完成。VHDL为设计的分解和设计的再利用提供了有力的支持。
,事实上已成为通用硬件描述语言。目前,大多数的EDA工具几乎在不同程度上都支持VHDL,这样给VHDL的进一步推广和应用创造了良好的环境。
(Top Down)和基于库(Library-Based)的设计方法,而且还支持同步电路、异步电路、FPGA等的设计。其范围之广是其它HDL所不能比拟的。
《硬件描述语言VHDL概述》-- 一、
一、 VHDL基本结构
先看一个程序例子:  设计一个3-8译码器
library ieee;
use ;
entity dec is
port (
signal sel : in std_logic_vector (2 downto 0);
signal en : in std_logic;
signal y : out std_logic_vector (7 downto 0)
);
end dec;
——库、程序包、配置
—实体说明
《硬件描述语言VHDL概述》-- 一、
architecture behavior of dec is
begin
process(sel,en)
begin
y<=”11111111”;
if (en=’1’) then
case sel is
when “000”=>y(0)<=’0’;
when “001”=>y(1)<=’0’;
when “010”=>y(2)<=’0’;
when “011”=>y(3)<=’0’;
when “100”=>y(4)<=’0’;
when “101”=>y(5)<=’0’;
when “110”=>y(6)<=’0’;
when “111”=>y(7)<=’0’;
when others=>NULL;
end case;
end if;
end process;
end behavior;
—结构体
3-8
译码器
en
sel0
sel1
sel2
y0
y1
y2
y3
y4
y5
y6
y7
电路示意图:
《硬件描述语言VHDL概述》-- 一、
VHDL语言把任意复杂的一个系统看成为一个模块。
一个模块可主要分为三个组成部分:
——库、程序包、配置
—实体说明

硬件描述语言VHDL概述硬件描述语言VHDL概述 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数160
  • 收藏数0 收藏
  • 顶次数0
  • 上传人165456465
  • 文件大小1.33 MB
  • 时间2018-10-05