下载此文档

硬件描述语言VHDL概述 硬件描述语言VHDL概述.ppt


文档分类:IT计算机 | 页数:约160页 举报非法文档有奖
1/160
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/160 下载此文档
文档列表 文档介绍
《硬件描述语言 VHDL 概述》硬件描述语言 VHDL 概述 VHDL VHDL 基本结构基本结构数据对象、类型及运算符数据对象、类型及运算符顺序语句、并行语句顺序语句、并行语句子程序子程序程序包与设计库程序包与设计库《硬件描述语言 VHDL 概述》 HDL 最早是 Iverson 公司于 1962 年提出,到上世纪 80年代被各个 ASIC 芯片厂商广泛采用。 1982 年各 ASIC 芯片厂商相继开发出自己的 HDL 。如 Silvar - lisco 公司的 HHDL 、 Zycad 公司的 ISP 、 Gateway Design Automation (现改为 Cadence Design System) 公司的 Verilog 等等。另外,还有许多一些高等院校及科研机构也开发了许多版本的 HDL ,有名的有美国国防部开发的 VHDL(VHSIC HDL) 、日本电子工业振兴协会的 UDL/I 等。硬件描述语言( Hardware Description Language,HDL ) 为了标准化 HDL ,1987 年底, IEEE 确认美国国防部开发的 VHDL 为标准硬件描述语言( IEEE-1076) 。之后,各 EDA 公司研制的硬件电路设计工具逐渐向 VHDL 靠拢。 1993 年, IEEE 对 VHDL 进行了修订,公布了新版本的 VHDL( 即 IEEE- 107-1993) 。现在 VHDL 和 Verilog 作为 IEEE 的两个标准。《硬件描述语言 VHDL 概述》 VHDL 语言是美国国防部在 20世纪 80年代初为实现其高速集成电路计划( Very High Speed Integrated Circuit,VHSIC) 而提出的硬件描述语言( Hardware Description Language,HDL ), 称为 VHDL 。 VHDL 的主要优点是: ,描述能力强,是一个多层次的硬件描述语言。 2. VHDL 有良好的可读性,即可以被计算机接受,也容易被理解。 。 VHDL 的硬件描述与工艺技术无关,不会因工艺变化而使描述过时。与工艺技术有关的参数可通过 VHDL 提供的属性加以描述,工艺改变时,只需修改相应程序中的属性参数即可。《硬件描述语言 VHDL 概述》 ,一个大规模设计不可能一个人独立完成,它将由多人,多项目组来共同完成。 VHDL 为设计的分解和设计的再利用提供了有力的支持。 已成为 IEEE 承认的一个工业标准,事实上已成为通用硬件描述语言。目前,大多数的 EDA 工具几乎在不同程度上都支持 VHDL ,这样给 VHDL 的进一步推广和应用创造了良好的环境。 可以支持自上而下( Top Down) 和基于库( Library- Based) 的设计方法,而且还支持同步电路、异步电路、 FPGA 等的设计。其范围之广是其它 HDL 所不能比拟的。《硬件描述语言 VHDL 概述》-- 一、一、 VHDL 基本结构先看一个程序例子: 设计一个 3-8 译码器 library ieee ; use ieee .std_logic_1164. all; entity dec is port ( signal sel : in std_logic_vector (2 downto 0 ); signal en : in std_logic ; signal y : out std_logic_vector (7 downto 0) ); end dec ; ——库、程序包、配置 2. 接口部分—实体说明《硬件描述语言 VHDL 概述》-- 一、 architecture behavior of dec is begin process ( sel ,en) begin y<= ” 11111111 ”; if (en= ’1’) then case sel is when “ 000 ”=>y(0)<= ’0’; when “ 001 ”=>y(1)<= ’0’; when “ 010 ”=>y(2)<= ’0’; when “ 011 ”=>y(3)<= ’0’; when “ 100 ”=>y(4)<= ’0’; when “ 101 ”=>y(5)<= ’0’; when “ 110 ”=>y(6)<= ’0’; when “ 111

硬件描述语言VHDL概述 硬件描述语言VHDL概述 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数160
  • 收藏数0 收藏
  • 顶次数0
  • 上传人wo1230
  • 文件大小1.33 MB
  • 时间2017-05-22