下载此文档

modelsim 错误及解决方法.doc


文档分类:办公文档 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
,做些总结以便复****不一定正确,请浏览者不吝纠正指教。软件::王金明:《VerilogHDL程序设计教程》1、文件夹Adder4中,$monitor($time,,,"%d+%d+%b={%b,%d}",a,b,cin,cout,sum);没有现象,出现警告:Warning:(vsim-PLI-3003)E:/modelsim65SE/wangjinming/adder4/(30):[TOFD]-Systemtaskorfunction'$minitor'、调用顶层文件的例化语句出现错误:adder4adder(.cout(cout),.sum(sum),.a(ina),.b(inb),.cin(cin));错误原因:没分清调用和被调用模块的端口放置位置,正确的写法如下:adder4adder(.cout(cout),.sum(sum),.ina(a),.inb(b),.cin(cin));3、在做counter4的时候,刚开始没信号,如图:原来以为是sourceinsight的问题,,仍然是这个问题。经排查是因为在点击startsimulation后没有去掉Enableoptimization。 改正方法:去点红圈处的钩。或者如下图,右击要仿真的文件,,Objects中出现了信号:、发现了initial$monitor($time,,,"clk=%dreset=%dout=%d",clk,reset,out);的作用,仿真运行后,在Transcript中显示:0 clk=0reset=0out=x#                  50 clk=1reset=0out=x#                 100 clk=0reset=1out=x#                 150 clk=1reset=1out=0#                 200 clk=0reset=0out=0#                 250 clk=1reset=0out=1#                 300 clk=0reset=0out=1#                 350 clk=1reset=0out=2#                 400 clk=0reset=0out=2#                 450 clk=1reset=0out=3#                 500 clk=0reset=0out=35、在做好一个工程后,想要对另一个文件夹内的文件进行仿真,必须选择ChangeDirectory。可是,选项是暗色的,不能选。我只有关掉modelsim,再打开,才可以选。后来发现,之所以之前不能选是因为还在仿真。只要关掉仿真就可以了。做法如下:选中Simulate->EndSimulation。6、常用指令总结:vlibworkvmapworkworkvlogxxx.

modelsim 错误及解决方法 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人镜花水月
  • 文件大小685 KB
  • 时间2019-01-31