下载此文档

一种基于FPGA高斯随机数生成器设计实现.pdf


文档分类:IT计算机 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
万方数据
。基于的计算加速已经逐渐成为提高计算速度和计算效率的蘑段适合在上进行计算加速的应用都具有计算密集型的特点,,大都存在随机采样的过程,,。—椒ǘ圆乃婊述窘辛猉瑆痵痵—、,“最值分析法”和“静态误筹分析法”.×痵S布试词古笮为×检测,;硬件加速器;高斯随机数产生;均匀分布随机数产生芍毓辜扑牛疭甁..—,甀.—,猄.;籊;;收稿期——钪招薷母迨盏絝冢究翁獾玫酵摇鞍肆备呒际跹芯糠⒄﹠.苎芯糠较蛭J峄旌霞傻缏飞鑜⑸。校晟淌冢㎎:、微电际酰.·—.,
万方数据
婊惴“。一∑布峁б”—一,璷『叫近年来,有很多关于在上实现高斯随机数生成器的研究荆甃等人。应用方法在上产生高斯随机数,并对设计过程进行了分析;等人。应用方法设计高斯随机数生成器,并在和两个型号的上进行了实现;,,他们的研究仍然存在很多缺陷,其中最首要的一点就是:已有的研究只关注对高斯随机数产生算法的设计实现过程,“转换”的思想得到高斯随机数的,,,大都将均匀分布随机数生成器作为已知条件看待,没有将均匀分布随机数生成器的设计作为高斯随机数产生的一部分来进行均匀分布随机数生成器对高斯随机数产生过程的影响主要体现在以下龇矫妫确植***婊闹芷诰龆烁咚顾婊的周期,基于的计算加速应用中,需要保证随机数的周期具有町配置属性,这点主要体现在均匀分布随机数的设计中;确植***婊奈豢砭龆丝梢圆高斯随机数的范围,即某些小概率事件的产生需要依靠对均匀随机数位宽的正确配置来实现;确植***婊善鞯墓ぷ魉俣炔荒艿于高斯随机数产生算法的硬件工作速度,为了不降低最终产生高斯随机数的吞吐率,均匀分布随机数本文的研究将均匀分布随机数的产生过程考虑到高斯随机数生成器的设计过程中,综合考虑均匀分布随机数生成器对高斯随机数产生过程的影响,有针对性地解决了上述龇矫娴奈侍猓疚耐ü对均匀分布随机数乍成器的硬件结构,,

一种基于FPGA高斯随机数生成器设计实现 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人2286107238
  • 文件大小0 KB
  • 时间2015-12-12