下载此文档

分频器实验报告.docx


文档分类:通信/电子 | 页数:约8页 举报非法文档有奖
1/8
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/8 下载此文档
文档列表 文档介绍
:学****利用VHDL完成分频器的设计在QUARTUSⅡ开发环境下用VHDL文本语言编译仿真出任意偶次,奇次,半整数分频器。实验条件:装有QUARTUSⅡ软件的电脑洛阳理工学院实验报告实验内容与步骤:一、实验内容:学****VHDL文本输入设计流程,包括设计、输入、综合、适配、仿真测试和编程下载,并且在QUARTUSⅡ开发环境下用VHDL文本语言编译仿真出任意偶次,奇次,半整数分频器观察并记录其仿真波形。二、实验步骤:奇数分频器的编译与仿真:1:建立工作苦文件夹和编译设计文件。新建一个文件夹。首先利用Windows资源管理器,在EDA默认的工作库(work)t10。输入源程序。打开QUARTUSⅡ,选择File-New命令。在新建窗口中的DesignFile栏选择编译文件的语言类型即VHDLFile选项。然后再VHDL文本编译窗口输入奇数分频器的程序:libraryieee;;;t10isport(clk:instd_logic;k_or,k1,k2:outstd_logic);end;t10issignalc1,c2:std_logic_vector(2downto0);signalm1,m2:std_logic;beginprocess(clk,c1)beginifrising_edge(clk)thenif(c1="110")thenc1<="000";elsec1<=c1+1;endif;if(c1="001")thenm1<=notm1;elsif(c1="100")thenm1<=notm1;endif;endif;endprocess;process(clk,c2)beginiffalling_edge(clk)thenif(c2="110")thenc2<="000";elsec2<=c2+1;endif;if(c2="001")thenm2<=notm2;elsif(c2="100")thenm2<=notm2;endif;endif;endprocess;k1<=m1;k2<=m2;k_or<=m1orm2;endbhv;(3)文件存盘。选择File—Saveas命令,t10,存盘文件名应该与实体名一致,。当出现问句“Doyouwanttocreat····”单击“是”按钮。2:创建工程。(1)建立新工程管理窗口。单击对话框第二栏右侧“···”按钮,找到文件夹d:\t10,,再单击“打开”按钮。将设计文件加入工程中。单击Next按钮,在弹出的对话框中单击File栏后的按钮将与工程相关的所有VHDL文件都加入此工程。选择目标芯片。单击Next按钮,选择目标器件即EP3C5E144C8。工具设置。结束设置。3:半程编译。编译前首先选择Processing→plilation命令,启动半程编译。4:时序仿真。工程编译通过后打开波形编辑器。选择File→New命令,在新建窗口选择VectorWaveformFile选项,单击OK按钮。设置仿真时间区域。波形文件存盘。选择F

分频器实验报告 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数8
  • 收藏数0 收藏
  • 顶次数0
  • 上传人aisheng191
  • 文件大小188 KB
  • 时间2020-05-19
最近更新