下载此文档

组合逻辑课程设计位二进制全加器全减器原创.docx


文档分类:IT计算机 | 页数:约15页 举报非法文档有奖
1/15
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/15 下载此文档
文档列表 文档介绍
组合逻辑电路课程设计——
4位二进制全加器/全减器
作者:
学号:
课程设计题目要求:
使用74LS283构成4位二进制全加/全减器。
阐述设计思路。
列出真值表。
画出设计的逻辑图。
用VHDL对所画电路进行运算速度,必须设法减小或消除由于进位信号逐级传递所消耗的时间,于是制成了超前进位加法器。
优点:与串行进位加法器相比,(特别是位数比较大的时候)超前进位加法器的延迟时间大大缩短了。但是它的缺点就是电路比较复杂。
.3超前位链结构加法器
S=A⊕B⊕Ci-1
Cout=AB+Ci-1(A+B)
令Gi=AiBi 产生进位Pi=Ai+Bi产生传输信号,
四位全加器的进位链逻辑可以表示为如下:
C1=G1+P1C0
C2=G2+P2G2+P2P1C0
C3=G3+P3G2+P3P2C1+P3P2P1C0
C4=G4+P4G3+P4P3G2+P4P3P2G1+P4P3P2P1C0
(full-substracter )
全减器有两种构造方法:
全减器处理二进制算法的一位,其输入位为X(被减数),Y(减数)和Bin(借位输入),其输入位为D(差)和Bout(借位输入),根据二进制减法表,可以写出如下等式:
Bout=X'×Y+X'×Bin+Bin
这些等式非常类似于全加器中的等式,但不足为奇。所以我们可以按照全加器的构造思路来构造全加器。
根据二进制补码的减法运算,X-Y可以通过加法操作来完成,也就是说,可以通过把Y的二进制补码加到X上来完成。Y的二进制补码等于Y’+1。其中Y’等于Y的各个位取反。所以得出下式:
X-Y=X+-Y=X+(Y'+1)
即全减器可以通过全加器来实现。其逻辑图如下图:



2设计思路

由上面对加法器的具体分析, 我们分别假定两个4位二进制数分别为A3A2A1A0、B3B2B1B0,利用Verilog HDL软件进行仿真,每个数位上的数值1、0用开关的高低电平表示,当开关打到红色点上时表示该位数值为1,反之如果打到蓝色点上时为0,输出的四位二进制用S3S2S1S0表示,当输出的各位上亮红灯了该位输出为1,如果为蓝色则表示为0,Cout进位输入端,C4为进位输出端,以此进行仿真。

首先将74LS283的B口的四个输入按作优化,添加一个选择端select。通过该选择端来控制做加法还是做减法运算。
做减法运算时选择端select=1,各个与非门的输出与输入相反,达到了取反的目的,此时Cin=1,从而实现了减法器的功能。
做加法运算时选择端select=0,各个与非门的输出与输入相同,达到了保持不变的目的,此时时Cin=外部输入,从而实现了加法功能。
3真值表
根据上面对加法器的具体分析,下面给出的是4位二进制全加器的部分真值表:
4位二进制全加器真值表
A3
A2
A1
A0
B3
B2
B1
B0
Cin
S3
S2
S1
S0
Cout
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
1
0
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
1
0
0
0
0
1
0
0
0
1
1
0
0
0
1
1
0
0
0
1
1
0
1
0
1
0
0
0
1
1
0
0
1
0
0
0
1
0
1
0
0
1
0
0
0
0
0
0
1
0
1
0
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
1
1
1
0
0
0
0
1
1
0
0
0
0
0
1
0
1
0
0
0
0
1
0
1
1
0
0
1
0
0
0
1
0
0
0
0
0
0
0
0
1
1
0
0
0
1
0
0
0
1
0
0
0
1
1
1
0
0
1
0
0
1
1
0
1
1
0
0
0
1
0
0
1
0
1
0
0
0
1
1
0
1
0
1
0
0
0
0
1
1
0
0
1
1
1

组合逻辑课程设计位二进制全加器全减器原创 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数15
  • 收藏数0 收藏
  • 顶次数0
  • 上传人wz_198614
  • 文件大小274 KB
  • 时间2022-03-18