下载此文档

简易钢琴游戏实验报告---副本.docx


文档分类:IT计算机 | 页数:约21页 举报非法文档有奖
1/21
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/21 下载此文档
文档列表 文档介绍
北京邮电大学
实 验 报 告
实验名称:简易钢琴游戏
学 院: 信息与通信工程学院
班 级: 2011211118
姓 名: ____ ####
学 号:我们可以看到数字0,对应的show为”1111110”,其他的1-9的数字都是依次对应show的值。同时,通过一个1M 的时钟进行扫描,使数码管无闪烁现象。
6、点阵显示
从图中我们可以看到,以1M的时钟逐行扫描点阵,使点阵看起来不会闪烁。用row来控制各行的选通。比方。Row=”11111011”时,只有第三行有显示。
五、源程序
library ieee;
use ;
use ;
Entity PinaoMaster is
port(
clk:in std_logic; --输入50M时钟
address:in std_logic_vector(6 downto 0);--按键
reset:in std_logic; --复位开始
beep:out std_logic; --蜂鸣器
cat:out std_logic_vector(5 downto 0); --数码管选通
row:out std_logic_vector(7 downto 0); --行选通
col:out std_logic_vector(6 downto 0); --列赋值
show:out std_logic_vector(6 downto 0)); --数码管显示
End entity PinaoMaster;
Architecture one of PinaoMaster is
signal clk1:std_logic;
signal clk2:std_logic;
signal preclk:std_logic;
signal fullspks:std_logic;
signal scantmp:std_logic_vector(2 downto 0);
signal Lighttmp:std_logic_vector(1 downto 0);
signal toneindex:std_logic_vector(2 downto 0);
signal countdown:integer range 0 to 30;
signal grade:integer range 0 to 99;
signal G1,G0,T1,T0:integer range 0 to 9;
signal tone:std_logic_vector(10 downto 0);
signal col0:std_logic_vector(6 downto 0);
signal col1:std_logic_vector(6 downto 0);
signal col2:std_logic_vector(6 downto 0);
signal col3:std_logic_vector(6 downto 0);
signal col4:std_logic_vector(6 downto 0);
signal col5:std_logic_vector(6 downto 0);
signal col6:std_logic_vector(6 downto 0);
signal col7:std_logic_vector(6 downto 0);
signal time1:std_logic_vector(6 downto 0);
signal time0:std_logic_vector(6 downto 0);
signal grade1:std_logic_vector(6 downto 0);
signal grade0:std_logic_vector(6 downto 0);
begin
clk12M:process(clk) --12M分频,用于音调的设置
variable cnt:integer range 0 to 1;
variable tmp:std_logic;
begin
if(clk'event and clk='1')then
if(reset='1')then
cnt:=0;
elsif cnt>=1 then
cnt:=0;

简易钢琴游戏实验报告---副本 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数21
  • 收藏数0 收藏
  • 顶次数0
  • 上传人沐雪
  • 文件大小849 KB
  • 时间2022-04-04