下载此文档

数字逻辑实验报告 实验二.doc


文档分类:高等教育 | 页数:约4页 举报非法文档有奖
1/4
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/4 下载此文档
文档列表 文档介绍
实验报告
课程名称数字逻辑实验名称实验二组合逻辑电路设计

实验日期 2012 年 5 月 12 日成绩指导教师
实验目的
;
HDL设计组合逻辑电路的方法。

实验原理
,完成实验内容:要求的电路设计,画出电路图。或复****使用数据选择器实现组合逻辑电路的方法,完成实验内容:要求的电路设计,画出电路图。
HDL对常用组合逻辑电路的建模方法,完成实验内容:要求的电路设计,写出实现代码。
II的开发、仿真流程。
实验环境
实验内容与步骤(可提供屏幕抓图)
一位全减器的设计
真值表:
A
B
C1
F
C2
0
0
0
0
0
0
0
1
1
1
0
1
0
1
1
0
1
1
0
1
1
0
0
1
0
1
0
1
0
0
1
1
0
0
0
1
1
1
1
1
逻辑表达式:
Block Diagram/Schematic File:
4人投票表决器的设计
真值表:
D3
D2
D1
D0
F
0
0
0
0
0
0
0
0
1
0
0
0
1
0
0
0
0
1
1
0
0
1
0
0
0
0
1
0
1
0
0
1
1
0
0
0
1
1
1
1
1
0
0
0
0
1
0
0
1
1
1
0
1
0
1
1
0
1
1
1
1
1
0
0
1
1
1
0
1
1
1
1
1
0
1
1
1
1
1
1
Verilog HDL File:
module text4(D,n_en,F);
input [3:0]D;
input n_en;
output F;
reg F;
always@(D or n_en)
begin
if(!n_en)

数字逻辑实验报告 实验二 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数4
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mh900965
  • 文件大小233 KB
  • 时间2018-05-12