下载此文档

VHDL实验报告论文硬件描述语言实现秒表功能.doc


文档分类:通信/电子 | 页数:约21页 举报非法文档有奖
1/21
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/21 下载此文档
文档列表 文档介绍
硬件描述语言期末实验报告
题目:硬件描述语言实现秒表功能
姓名 xxxx
学号 xxxxxxxxxx
年级专业 xxxxxxxxxxxxx
指导教师 xxxx

2012年6月20日
河北大学本科生VHDL硬件实验论文(设计)
硬件描述语言实现秒表功能
摘要
应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。
秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外整个秒表还需有一个启动信号,暂停信号和一个清零信号,以便秒表能随意停止及启动。
关键词:VHDL语言数字秒表时序仿真图
目录
实验目的…………………………………………………………1
二、硬件要求…………………………………………………………1
三、引脚说明…………………………………………………………1
四、模块介绍…………………………………………………………2
计数器(六、十进制)…………………………………………2
蜂鸣器……………………………………………………………2
译码器……………………………………………………………3
控制器……………………………………………………………4
五、整体连接图………………………………………………………5
六、实验结果…………………………………………………………6
七、实验总结…………………………………………………………6
八、谢辞………………………………………………………………7
九、附录………………………………………………………………7
一实验目的
学****使用VHDL语言,以及EDA芯片的下载仿真。
二硬件要求
(1)主芯片EPF10K10LC84-4。
(2)蜂鸣器。
(3)8位八段扫描共阴极数码显示管。
(4)二个按键(暂停,开关)。
三引脚说明

端口类型
端口名
数据类型
说明
in
clk,pause_clk,
std_logic
时钟信号
stop
开始,清零按钮
out
a,b,c,d,e,f,g,dp
std_logic
各个显示灯的连接
sr_out
蜂鸣器
d1,d2,d3,d4,d5,d6
控制数码管的信号

signal q:std_logic_vector(3 downto 0);
--q是用于分频的信号。
signal state: std_logic_vector(3 downto 0);
--state为状态信号,state为1时为暂停记录状态,为0时为正常显示计数状态。
signal led: std_logic_vector(3 downto 0);
--led为数码管扫描信号,通过对d1~d6的选择使数码管发光。
signal data,data1,data2,data3,data4,data5,data6,data7,data8:std_logic_vector(3 downto 0);
--data1~data8这些信号为计数器所记录的数值,data为传入译码器的变化值。
signal pause1,pause2,pause3,pause4,pause5,pause6:std_logic_vector(3 downto 0);
--这些信号为实现暂停功能寄存信号。
signal output:std_logic_vector(6 downto 0);
--output为译码输出的信号总线。
signal sound:std_logic_vector(3 downto 0)
--sound为蜂鸣器的输入分频器。
signal sound_sout:std_logic_vector(15 downto 0);
--data1~data4的总线。
signal sound_star:std_logic;
--为蜂鸣器输入频率的开关。
四模块介绍
时钟的设计共化分为5个模块:六进制计数电路,报时电路,扫描电路,译码电路。下面具体分析各个模块的原理、内容和功能。

if(count=X)then --‘X’为进制数,为5即为6进制,为9就是10进制。
count<="0000";
next_count_clk<='1';--为下一个计数器的时钟信号输入。
else
count<=count+'1'; --实现计数功能。
next_count_clk<='0';
end if;

p

VHDL实验报告论文硬件描述语言实现秒表功能 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数21
  • 收藏数0 收藏
  • 顶次数0
  • 上传人yzhlya
  • 文件大小280 KB
  • 时间2018-01-20