下载此文档

Quartus II使用教程1.ppt


文档分类:外语学习 | 页数:约137页 举报非法文档有奖
1/137
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/137 下载此文档
文档列表 文档介绍
Quartus_II使用教程1学****情境二:"HeaderandFooter""HeaderandFooter"【要求】应用原理图方法设计八位二进制加法器【知识点】应用原理图方法设计八位二进制加法器理解QuartusII原理图输入法掌握QuartusII原理图层次化设计方法理解QuartusII器件编程【重点和难点】"HeaderandFooter"§§§§"HeaderandFooter"一、任务的陈述设计一个八位二进制加法器:,并通过编译及仿真检查设计结果。二、任务的背景加法器是数字系统中的基本逻辑器件,也是最基本的数字算法,无论乘法、减法、除法或FFT运算最终也要分解为加法运算。因此,加法器的设计是一个最基础的设计之一。§***峨拙汇串爵丑今奏享雌唯闻***"HeaderandFooter"下一页§、资讯为了完成八位二进制加法器的设计,首先要进行以下几点的准备工作:①半加器的定义、真值表、逻辑表达式、元件符号;②全加器的定义、真值表、逻辑表达式、元件符号;③多位加法器的构成方式、特点。通过对加法器相关知识的阅读和分析,思考用于什么方式进行八位二进制加法器的设计。Ⅱ、网页资料,掌握QuartusⅡ。"HeaderandFooter",那么采用层次化的方法设计就能使设计变得条理清晰,简单易懂,如何用QuartusⅡ,如何把层次的关系理好,这是一个要思考的问题。二、计划根据上节中的知识,制订设计方案如图2-1所示。三、决策从方案上看,设计方案至少有3种,下面来对这3种方案进行分析:一般来说,多位加法器的构成方式主要分为并行进位和串行进位两种。并行进位一般来说速度快、占用资源多;而串行进位一般速度慢、§"HeaderandFooter"下一页占用资源少。同时实验表明,四位二进制并行加法器和串行级联加法器占用几乎相同的资源。这样,多位数加法器由四位二进制并行加法器级联构成是较好的选择。因此,采取第一种方案是实际设计中较好的选择,但是,由于本书的是针对初学者,考虑到浅显易懂的宗旨,我们在设计的时候主要介绍第一种设计方法,只在章节后对第一种设计方法作简略的介绍。 四、实施①根据第一种设计方案,首先,制作底层半加器,根据数字电路中组合逻辑电路的设计方法,根据定义,列真值表,写逻辑表达式,画出它的逻辑电路图,然后用原理图方式(软件的使用,)进行半加器的设计。如图2-2所示。§

Quartus II使用教程1 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数137
  • 收藏数0 收藏
  • 顶次数0
  • 上传人xyb333199
  • 文件大小1.80 MB
  • 时间2019-04-18