下载此文档

交通灯课程设计交通灯控制器.doc


文档分类:办公文档 | 页数:约17页 举报非法文档有奖
1/17
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/17 下载此文档
文档列表 文档介绍
数字逻辑课程设计报告姓名:学号:选课号:一、设计题目交通灯控制器二、,南北方向为副干道;,若副干道无车,仍主干道通行,否则转换;;,到时间则转换,若未到时,但是南北方向已经无车,也要转换。:用数码管显示计时。三、,用计数器控制亮灯时间并给译码器输入信号以便数码管显示时间,用函数发生器产生频率为1Hz的矩形波信号以供计数器计数。框图如下:显示器主干道信号灯计数器主控电路信号灯副干道单位脉冲信号灯十字路口车辆运行情况只有4种可能(在副干道有车时):(1)设开始时主干道通行,支干道不通行,这种情况下主绿灯和支红灯亮,持续时间为40s。(2)40s后,主干道停车,支干道仍不通行,这种情况下主黄灯和支红灯亮,持续时间为4s。(3)4s后,主干道不通行,支干道通行,这种情况下主红灯和支绿灯亮,持续时间为20s。(4)20s后,主干道仍不通行,支干道停车,这种情况下主红灯和支黄灯亮,持续时间为4s。4s后又回到第一种情况,如此循环反复。因此,要求主控制电路也有4种状态,设这4种状态依次为:S0、S1、S2、S3。即:主干道绿灯亮,副干道红灯亮计数器由0到40递增计数(S0)40S后副干道无车主干道黄灯亮,支干道红灯亮计数器由0到5递增计数(S1)40S后副干道有车未过20s但副干道已无车20S后副干道有车主干道红灯亮,支干道黄灯亮计数器由0到4递增计数(S3)主干道红灯亮,支干道绿灯亮计数器由0到20递增计数(S2)状态转换图如下:副干道无车20s未到,但已无车S0S1S2S340s后4s后20s后4s后状态转换图这四个状态可以用用一个4进制的异步清零计数器(74LS160)进行控制并作为主控部分,控制亮灯的顺序。再用两片计数器(74LS160)控制亮灯时间,分别计数40、20、4。,即当QC为1时用异步清零法立刻将计数器清为零,同时,另外两片74LS160计数器产生的清零信号与主控电路的计数器的计数CLK连接,即当计数器一次计数完成后(一种的状态的亮灯时间过后),计数器清零,同时主控电路CLK接收一个脉冲,跳至下一状态。如此循环变可实现四个状态的轮流转换。:一是根据主干道和副干道车辆运行时间以及黄灯切换时间的要求,进行40s、20s、4s3种方式的计数;二是向主控制器发出状态转换信号,主控制器根据状态转换信号进行状态转换。计数器除需要单位脉冲作时钟信号外,还应受主控制器的状态控制。计数器的工作情况为:计数器在主控制器进入状态S0时开始40s计数;若在S0状态的40s过后,副干道没有车,则使主控制器始终清零,保持在S0状态(单刀双掷开关处于高电平),继续保持主干道路灯亮,副干道红灯亮。40s后如果副干道有车,则恢复主控制器正常状态(单刀双掷开关处于低电平),计数器产生归零脉冲,并向主控制器发出状态转换信号,使计数器归零,主控制器进入状态S1,计数器开始4s计数,4s后又产生归零脉冲,并向主控制器发出状态转换信号,使计数器归零,主控制器进入状态S2,计数器开始20s计数;如果副干道一直有车则20s后也产生归零脉冲,使主控制器进入S3状态,如果在20s内没有车,则给主控制器传送一个脉冲信号(即按下按键开关,此时单刀双掷开关处于低电平),使主控制器直接跳到S3状态,同时计数器清零,计数器又开始4s计数;4s后同样产生归零脉冲,并向主控制器发出状态转换信号,使计数器归零,主控制器回到状态S0,开始新一轮循环。根据以上分析,设40s、4s、20s、4s计数的清零信号分别为A、B、C,D,S0状态时副干道有车信号为P,S2状态时副干道有车信号为Q,则计数器的归零信号S为:S=A+B+C+D+QA=··(Q2高位)B=··(Q1高位)C=··(Q2低位)D=··(Q2低位)Q=1主控制器的归零信号为:P=··1电路图如下:、支干道红、黄、绿灯的亮与灭。设灯亮为1,灯灭为0,则交通控制灯的译码电路的真值表如下:交通控制灯的译码电路的真值表主控制器状态主干道支干道X1X0红灯R黄灯Y绿灯G红灯R1黄灯Y1绿灯G1S0 00S1 010 010 101 001 001 001 000 010 10S2 10S3 11由真值表可写出六盏等的逻辑式,经化简获的六盏灯逻辑式为:根据灯控函数逻辑表达式,可画出由与门和非门组成的状态译码器电路,如图所示。将状态控制器,与三色信号灯相连接,构成三色信号灯逻辑控制电路,如图所示:

交通灯课程设计交通灯控制器 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数17
  • 收藏数0 收藏
  • 顶次数0
  • 上传人AIOPIO
  • 文件大小445 KB
  • 时间2020-08-11