下载此文档

课程设计----交通灯控制器设计交通控制课程设计交通灯设计课程交通灯控制.doc


文档分类:办公文档 | 页数:约14页 举报非法文档有奖
1/14
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/14 下载此文档
文档列表 文档介绍
数字电路课程设计报告书
题目:交通灯控制系统
系部名称
:
通信工程系
学生姓名
:

专业名称
:
通信工程
班级
:
通工0502
时间
:
07年12月10日至07年 12月21日
课程设计题目-交通灯控制器.
任务和要求:
本实验要求设计一个具有主次干道的交通灯控制器,每边都设置红、黄、绿三种颜色信号灯。红灯亮表示禁止通行,绿灯亮表示可以通行。在绿灯变红灯时先要求黄灯亮几秒钟,以便让后来车辆准备停车。
,管理;
,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯;
,设计主干道的车量通行时间为30秒,次干道的车量通行时间为20秒
,先亮黄灯提示司机注意,然后绿灯灭,红灯亮;
,提示司机剩余时间。
总体方案的选择:
:
时脉产生电路:
状态控制电路
倒计时电路
交通灯信号显示电路
、黄、绿三种灯亮的状态表
状态
主干道
支干道
30S
绿

5S


20S

绿
5S


分析:此处灯的状态可以用74LS74 控制输入端的输入信号,也可以用74LS139和74LS161共同来控制。在设计时,时钟信号发生器产生稳定的“秒”脉冲信号,确保整个电路装置同步工作和实现定时工作。两个74LS161 级连作为计数器,其输出端通过非门与74LS48 相连,在数码管上实现倒计时。由于输出端输出不变,所以用74LS74 控制输入端的输入信号,使其实现模30、模20、模5的转化。而74LS74自身也有一个循环,使74LS161的模值按所需的要求变化。而六个灯由74LS74的输出端通过74LS139直接控制。
下面是系统总框图
脉冲发生器
高位计时器
低位计时器
译码器
译码器
控制器
交通灯显示
高位显示
低位显示
时钟信号发生器产生一个CP脉冲,计数器74161接受CP脉冲,实现同步计时。两片74161,实现计数功能,并通过非门产生倒计时。计数器的输入端用主控制器实现其模值循环。利用同步置数端,当高位输入为1101、低位输入为0110时实现模30的计数器;当高位输入为1111、低位输入为1011时实现模5的计数器;当高位输入为1110、低位输入为0110时实现模20的计数器。
然后主控电路,当74的输出Q2、Q1为00时实现模30的计数器,此时主干道的绿灯和次干道的红灯亮起来;当Q2、Q1为01时实现模5计数器,此时主干道的黄灯和与次干道的红灯一起亮而主干道的绿灯熄灭;当Q2、Q1为10时实现模20的计数器,此时主干道的红灯和次干道的绿灯亮起来;当Q2、Q1为11时,实现模5计数器,此时次干道的黄灯与主干道的红灯一起亮,而次干道的绿灯熄灭;然后AB在回到00状态,这样就实现了交通灯的循环且实现了主次干道通车时间不同的功能。
计数器的选择:可以用两片161来实现记数功能,也可用全加器来实现
倒计时显示:十位倒计时显示,将161的输出端通过非门接入48,利用它的反码输出在数码管上实现倒计时。再利用两片161的CO输出来控制74的CP,从而实现红绿灯的变化。这样便实现了该电路的所有功能。
此方案中状态控制电路我采用了双D触发器74芯片,使得电路的使用的芯片最少,电路也很简单,思路清晰功能易实现。
四. 单元电路的设计:
1. cp脉冲产生电路:
用555定时器构成自激多谐振荡器,在接通电源后,不需要外加触发信号,能自动地产生矩形脉冲。以下是矩形脉冲产生电路:
:
红绿灯显示是表示电路所处状态,与主控电路的状态一一对应,受到主控电路控制,即主控电路的输出(Q1和Q2)决定了主干道和支干道的红绿灯的亮灭情况。如亮用1表示,灭用0表示,则红黄绿灯及倒计时状态图如下:
Q2
Q1
主红(R)
主黄(Y)
主绿(G)
支红(r)
支黄(y)
支绿(g)
下一状态
Q2`
Q1`
0
0
0
0
1
1
0
0
0
1
0
1
0
1
0
1
0
0
1
0
1
0
1
0
0
0
0
1
1
1
1
1
1
0
0
0
1
0
0
0
化简得:主干道:R=Q2,Y=Q2!Q1 ,G=Q2!Q1!
支干道:r=Q2!,y=Q1Q2 , g=Q1!Q2
D1=Q1`=Q1!,D2=Q2`=Q1*Q2!+Q2*Q1!
上面分析结果可以用门电

课程设计----交通灯控制器设计交通控制课程设计交通灯设计课程交通灯控制 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数14
  • 收藏数0 收藏
  • 顶次数0
  • 上传人mkjafow
  • 文件大小792 KB
  • 时间2017-12-16