下载此文档

基于FPGA的出租车计价器设计.doc


文档分类:通信/电子 | 页数:约18页 举报非法文档有奖
1/18
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/18 下载此文档
文档列表 文档介绍
西安邮电学院
科研训练报告
基于FPGA的出租车计价器设计
院系:电子工程学院
姓名:李欢乐
专业班级:微电子(05)
学号:04064081
目录
摘要 2
: 2
: 2
,出租车计价器的要求: 2
,系统组成框图: 2
3 .各模块设计: 3
: 3
,计数器的分频模块: 3
.3,计数器的分频模块VerilogHDL源代码: 4
.4,仿真的结果: 4
,数码管的分频模块: 4
.6 ,具体框图: 4
.7,数码管的分频模块VerilogHDL源代码: 5
,计程模块: 5
,计程模块的框图: 5
: 5
: 6
: 7
,计时模块的框图: 7
,计时模块的VerilogHDL源代码: 7
: 8
,控制模块: 8
, 控制模块的框图: 9
,控制模块的VerilogHDL源代码: 9
,控制模块的仿真结果: 9
,计费模块: 9
: 9
,计费模块的VerilogHDL源代码如下所示: 10
,计费模块的仿真结果: 10
,数码管显示模块: 11
,数码管显示模块的框图: 11
,数码管显示的VerilogHDL源代码: 11
,顶层模块: 13
,顶层模块的VerilogHDL源代码: 13
: 14
,验证的流程图: 14
,验证的VerilogHDL源代码: 15
,系统仿真: 15
: 17
, Quartus II软件综合的报表: 17
,综合的RTL级电路: 17
6. 结束语: 18
: 18
基于FPGA的出租车计价器设计
摘要
介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用FPGA器件构成该数字系统的设计思想和实现过程。论述了计程模块,计费模块,计时模块,译码动态扫描模块等的设计方法与技巧。
:
随着EDA技术的高速发展,电子系统的设计技术发生了深刻的变化,大规模可编程逻辑器件CPLD/FPGA的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。本文介绍了一个以Altera公司可编程逻辑芯片cyclone2系列的EP2C5T144C8的fpga芯片为控制核心、附加一定外围电路组成的出租车计费器系统。随着社会的不断进步,人们生活水平的不断提高,出租车逐渐成为人们日常生活不可缺少的交通工具。而计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因而出租车计价器的发展非常迅猛。
:
,出租车计价器的要求:
◇行程3公里内(包括3公里),且等待累计时间2分钟内(包括2分钟),起步费为10元;
◇ 3公里外(不包括3公里)以每公里2元,等待累计时间2分钟外(不包括2分钟)。
◇能显示行驶公里数、等待累计时间和最后的总费用。
本计费器的显示范围为0~99元,计价分辨率为1元;计程器显示范围为0~99公里,分辨率为1公里;计时器的显示范围是分钟的显示范围是0—99, 辨率为1分钟。秒的显示范围是0—59。辨率为1秒。
,系统组成框图:
出租车的一般计费过程为:出租车载客后,启动计费器,整个系统开始运行,里程计数器和时间计数器从0开始计数,费用计数器从10开始计算。再根据行驶里程或停止等待的时间按以上
的标准计费。若在行驶状态,则计程器开始加计数,当路程超过三公里后,计费器以每公里2元累加。若出租车停止等待状态,则计时器开始加计数,当时间超过两分钟后,计费器以每分钟1
元累加。出租车到达目的地停止后,停止计费器,显示总费用。
根据出租车计费器的工作过程,本系统采用分层次、分模块的方式设计,其本系统组成框图如下所示。其中行驶路程计数模块、等待时间计数模块和计费模块,用来统计路程、等待时间
和总费用,控制模块是用来控制计费模块,数码管显示模块用来显示行驶的公里数、等待累计时间和总费用等信息。系统框图如下所示:
3 .各模块设计:
本系统采用层次化、模块化的设计方法,设计顺序为自下向上。首先实现系统框图中的各子模块,然后由顶层模块调用各子模块来完成整个系统。为了便于显示,这里的路程、时间和费用计

基于FPGA的出租车计价器设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数18
  • 收藏数0 收藏
  • 顶次数0
  • 上传人3099984911
  • 文件大小0 KB
  • 时间2015-09-25