下载此文档

FPGA实验报告.doc


文档分类:高等教育 | 页数:约74页 举报非法文档有奖
1/74
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/74 下载此文档
文档列表 文档介绍
电子科技大学成都学院
实验报告册
课程名称: FPGA实验
姓 名:
学 号:
D1、LED2、LED3,这样就可以实现LED流水灯。
本实验的内容是建立可以用于控制LED流水灯的简单硬件电路,要求在SmartSOPC实验箱上实现LED1~LED8发光二极管流水灯显示。
三、实验步骤:
启动QuartusII建立一个空白工程,。
2、新建Verilog ,输入程序代码并保存,然后综合编译。假设再编译过程中发现错误,那么找出并更正错误,直到编译成功为止。
3、设置引脚。Assignments→pins
将跳线短接帽接到SmartSOPC实验箱上的JP6的LED0~LED7,使LED1~LED8分别与FPGA的引脚50、53~55、176和47~49相连。然后翻开电源,执行下载命令。
4、编译无误后点击下载
右边第三个键
点击start开始下载,完成后观看LED灯的闪烁情况。
5、更改分频系数,重新编译下载,观看流水灯的变化。
四、实验结果和数据:
程序代码:
2、电路图:
3、实验图片采样:
五、实验总结:
在保存文件名时不能以数字开头,文件名要和程序中的模块名一致,不然编译的时候会报错。当编译的警告超过20个后,程序不能在目标板上正常执行。
学会了怎样使用QuartusII新建工程与下载程序的方法。
实验四: 静态数码管显示
实验目的:
学****7段数码管显示译码器的设计。
进一步了解、熟悉和掌握FPGA开发软件QuartusII的使用方法及Verilog HDL的编程方法。
二、实验原理和内容:
本实验通过分频模块的到1Hz的频率信号,加载于4位奇数的时钟输入端,计数循环输出0~9、A~F共16个数。最后通过7段译码模块译码后在数码管上显示出来。
本实验的内容是建立7段译码显示模块,用于控制LED数码管的静态显示。要求在SmartSOCP实验箱上的数码管依次显示0~9、A~F 16个字符。
三、实验步骤:
启动QuartusII建立一个空白工程,然后命名为decl7s。
建立源文件,。
编写程序代码,编译。如果编译有错误,那么需要改正错误后继续编译。
设置引脚。Assignments→pins
5、编译、下载,观察数码管显示情况。
四、实验结果和数据:
程序代码:
2、电路图:
五、实验总结:
本实验连续运用三个always模块,所以要明白每个always模块之间的关系。
本实验只运用了数码管的段选,没有用位选,所以得到的结果每个数码管显示的数都是相同的。
实验五: 数码管显示学号后8位
实验目的:
进一步学****7段数码管显示译码器的设计。
学****动态扫描显示的原理及电路的设计。
熟悉Verilog HDL的编程方法。
二、实验原理及内容:
本实验利用8个数码管显示学号的后8位,其中每个数码管的8个段a~h分别连到SEG0~SEG7,8个数码管分别由8个选通信号DIG0~DIG7来控制。被选通的数码管显示数据,其余关闭。如果想要8个数码管全都显示想要的数据,那么需要8个选通信号DIG0~DIG8分别单独选通。同时在段选信号输入口加上希望在该对应数据管上显示的数据,随着选通信号的扫描就能实现扫描显示的目的。虽然每次只有一个LED显示,但只要扫描显示速率够快,由于人的视觉余辉效应,使我们任然感觉所有的数码管都在同时显示。
三、实验步骤:
启动QuartusII建立一个空白工程,然后命名为scan_led。
2、Verilog HDL 。
3、编写程序,编译。如果编译出错,需要继续更正程序,直到编译没错为止。
4、添加常量兆功能模块。
Tools→Mega Wizard Plug-In Manager翻开图示添加兆功能模块向导。
选择Create a new custom megafunction variation建一个新的兆功能模块。
点击next按钮,进入向导第二页,按以下图进行选择和设置。
单击next进入向导第3页。按以下图进行选择和设置。
单击next进入向导第4页,单击finish完成常量兆功能模块的添加。
5、将代码生成

FPGA实验报告 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数74
  • 收藏数0 收藏
  • 顶次数0
  • 上传人aena45
  • 文件大小2.32 MB
  • 时间2022-01-18
最近更新