下载此文档

基于FPGA双RAM乒乓操作的数据存储系统的研究图文精.doc


文档分类:行业资料 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
基于FPGA双RAM乒乓操作的数据存储系统的研究-图文(精)————————————————————————————————作者:————————————————————————————————日期: 科技信息。机械与电子o2010年第2l期基于FPGA双RAM乒乓操作的数据存储系统的研究钱黄生1夏忠珍z11。中国电子科技集团公司第四十一研究所山东青岛266555;.-3江苏南京211102l【搐要】本文阐速了在对实时性要求较高,而对数据存储深度要求不高的数据采集系统中,用FPGA构建双RAM来乒乓存储数据的方法,重点介绍了乒乓操作的控制方法。。并且通过XC2VP20验证了本方法完全能够满足设计的要求。【关键词】FPGA;KAM;乒乓操作0引言经过70年的不断发展,FPGA已由当初的1200门发展成为今天的百万门级。通过不断更新优化产品架构和生产工艺,实现了更多的逻辑单元、更高的性能、更低的单位成本和功耗【11。本文用到的FPGA是xilinx公司Virtex-IlPro家族的xc2vp20芯片,。由于本系统的存储深度不大,所以采用FPG***内资源来构建RAM。,而且还可以避免板级信号干扰。既节省了印制板空间又节约了成本。1乒乓操作原理乒乓操作口棚是种经常应用于数据流控制的处理方法。图l是它的典型操作示意图。乒乓操作的处理流程为:输人数据流通过“输入数据选择单元”(DPRAM或单r】RAM,FIFO等。在第一个周期,将输入的数据流缓存到。数据暂存单元1”:在第2个周期,通过“输人数据选择单元”的切换,将输入的数据流缓存到“数据暂存单元2”,同时将“数据暂存单元1”缓存的第1个周期数据通过“输出数据选择单元”的选择。输送到“数据处理单元”即上位机凄走进行处理;在第3个缓冲周期通过“输人数据选择单元”的再次切换,将输入的数据流缓存到“数据暂存单元1”,同时将“数据暂存单元2”缓存的第2个周期的数据通过“输出数据选择单元”切换,输送到“数据处理单元”进行运算处理。如此循环。输入输出数数据据数据刊l(D骱PRA甑M1}选择选择处单元单元理堕2选lI数篙DPA单M亍2P一2选l了E图1典型乒乓操作操作示意图乒乓操作的最大特点是通过“输入数据选择单元”和“输出数据选择单元”按节拍、相互配合的切换,将经过缓冲的数据流不断输送到“数据流运算处理模块”进行运算与处理。将乒乓操作模块作为一个整体,通过观察此模块两端的数据,发现输入数据流和输出数据流都是连续不断的,因此非常适合对数据流进行流水线式处理。。本文采用这种方法是为了实现采样后的数据能被较连续地输送人上位机进行处理,显示波形等。2FPGA仞化双口RAMXilinx公司为相应的FPGA器件提供了很多的IP核,可根据需要调用来直接使用。(addra:INstd_logie_VECTOR(1ldownto0;addrb:INstd_logic—VECT

基于FPGA双RAM乒乓操作的数据存储系统的研究图文精 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人taotao0a
  • 文件大小229 KB
  • 时间2019-11-18