下载此文档

实验15 VHDL多路复用器与比较器的设计与仿真.doc


文档分类:高等教育 | 页数:约3页 举报非法文档有奖
1/3
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/3 下载此文档
文档列表 文档介绍
实验十五多路复用器与比较器的设计与仿真一、,在QuartusII中用逻辑图和VHDL语言设计四选一多路复用器;(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85。二、实验要求4选1多路复用器、比较器的逻辑表达式;4选1多路复用器、比较器的逻辑图;用VHDL语言设计4选1多路复用器、比较器。三、:4选1多路复用器(4to1Multiplexer),又叫4选1数据选择器用途:可以对多个输入信号进行选择。电视机里的频道转换开关就是一个多路开关。:4位大小比较器(4-parator)逻辑框图逻辑功能表

实验15 VHDL多路复用器与比较器的设计与仿真 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数3
  • 收藏数0 收藏
  • 顶次数0
  • 上传人花开花落
  • 文件大小129 KB
  • 时间2019-01-27