下载此文档

多路复用器与比较器的设计与仿真.docx


文档分类:汽车/机械/制造 | 页数:约6页 举报非法文档有奖
1/6
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/6 下载此文档
文档列表 文档介绍
多路复用器与比较器的设计与仿真.docx实验五多路复用器与比较器的设计与仿真一、实验目的通过实验再次学****VHDL这一有用的语言。运用VHDL语言来设计和仿真,更好的了解四选一多路复用器的逻辑特性。运用VHDL语言来设计和仿真,更好的了解4位大小比较器的逻辑特性。二、 实验内容参照芯片74LSI53的电路结构,用逻辑图和VHDL语言设计四选一多路复用器;从Maxplus中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析。三、 实验方法1、了解74135的逻辑功能。1・74153:4选1多路复用器(4to1Multiplexer),又叫4选1数据选择器•I用途:可以对多个输入信号进行选择。电视机里的频道转换开关就是一个多路开关。逻辑图如下:■■-fcoL ZLomu s-fcoL ZLomlu xruuDou(1 T0311D0O);uo,uJL,uZ,U0二a_i-i»七日 丄09丄u;y二ouiDs-fcoL ZLom«d_u);ucTiyx74153;huumDuuDuluuiyi7415 o£m74153a_»k>umillAauJLuuDyC=UO11OO11u:LO111uZ1O11U011ll11TOT 3tiurioDkxurrs;uedLiyx74153_a;:4位大小比较器逻辑图如下:AOBOIKPOTu亡匚I(相比较数输入端A1B1B2丁I匹err?…gg.・;"IKPOT?…gg.・IKPOT?…gg.・;"IKPOT?…gg.・「…世四丫2TryB3IKPOTu'•-吧n-.'•&LAOTCIHF;凡•>7485—A0—00—A1—B1—A2 ALBO—02 AE0O—A3 AGBO—03—AL0I—AE0I—PARATOROUTPUT3>ALBOMtwt•…p-—>AGBO比较结果输出端四买验过程仔细预****实验,认真完成实验报告,以便充分利用课堂时间,在最短的时间内达到最好的效果。通过VHDL数据流描述,得到波形图。对得到的波形图进行分析,研究。用FPGA验证结果的正确性。具体如下一VHDL程序1・启动MaxplusTT新建一个文本编辑文件,输入设计的VHDL语言编译。点击file-^saveas,保存文件,扩展名为vhd,选择芯片类型为EPF10K20TT144-4,保存并编译,出现0error,0warnings则编译通过。仿真波形。点Max+plusII->Waveformeditor,lB现波形图的设置界面,然后点Node^EnterNodesfromSXF^list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plusII->Simulator,即可仿真岀输岀的波形。5编译。点击file^saveas,保存文件,选择芯片类型为EPF10K20TI144-4,保存并编译,出现0error,0warnings则编译通过。设计芯片。点Max+plusII->Floorplaneditor,将UnassignedNodes&栏中,电路的输入输出节点标号直接用鼠标“拖到”想分配的引脚上(a:88,b:89,c:12),点Max+plusII->programmer->configuer,然

多路复用器与比较器的设计与仿真 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数6
  • 收藏数0 收藏
  • 顶次数0
  • 上传人sssmppp
  • 文件大小135 KB
  • 时间2019-07-13