下载此文档

实验五 4选1多路复用器和4位比较器设计与仿真.doc


文档分类:汽车/机械/制造 | 页数:约9页 举报非法文档有奖
1/9
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/9 下载此文档
文档列表 文档介绍
实验五 4选1多路复用器和4位比较器设计与仿真
班级信息安全一班姓名邓一蕾学号201208060106指导老师袁文澹
一、实验目的
Ⅱ仿真软件的基本操作,并用VHDL语言和逻辑图设计四选一多路选择器,4位比较器。
二、实验内容
,用逻辑图和VHDL语言设计四选一多路复用器;
Ⅱ中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85

(1)四选一多路选择器逻辑电路的原理

4选1多路选择器,GN为使能端,AB为选择通道控制端,c0,c1,c2,。当GN为1时,y=0;
当GN为0,AB=00时,Y为c0的值;
当GN为0,AB=01时,Y为c1的值;
当GN为0,AB=10时,Y为c2的值;
当GN为0,AB=11时,Y为c3的值;
(2)通过实验实现逻辑的原理

Inputs
Outputs
Select
Strobe
G
Y
B A
X X
1
0
0 0
0
C0
0 1
0
C1
1 0
0
C2
1 1
0
C3

(1)4位比较器的逻辑电路图
A0,B0,A1,B1,A2,B2,A3,B3为相比较数输入端,ALBI,AEBI,AGBI为级联输入端
ALBO,AEBO,AGBO为比较输出端。
2)通过实验实现逻辑的逻辑功能表为
数据输入端
控制输入端
输出端
A3A2A1A0
B3B2B1B0
AGBI
ALBI
AEBI
AGBO
ALBO
AEBO
1000
0000
0
0
0
1
0
0
0000
1000
0
0
0
0
1
0
1100
1000
0
0
0
1
0
0
1000
1100
0
0
0
1
0
1
1110
1100
0
0
0
1
0
0
1100
1110
0
0
0
0
1
0
1111
1110
0
0
0
1
0
0
1110
1111
0
0
0
0
1
0
0000
0000
1
0
0
1
0
0
0000
0000
0
1
0
0
1
0
1111
1111
0
0
1
0
0
1
1111
1111
0
0
0
0
0
1
四、实验方法与步骤
实验方法:
采用基于FPGA进行数字逻辑电路设计的方法。
采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。
:
编写源代码。打开QuartusⅡ软件平台,点击File中得New建立一个文件。编写的文件名与实体名一致,点击File/Save as以“.vhd”为扩展名存盘文件。
2、按照实验箱上FPGA的芯片名更改编程芯片的设置。操作是点击Assign/Device,选取芯片的类型。建议选“Altera的EPF10K20TI144_4”
3、编译与调

实验五 4选1多路复用器和4位比较器设计与仿真 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数9
  • 收藏数0 收藏
  • 顶次数0
  • 上传人endfrs
  • 文件大小1.63 MB
  • 时间2017-11-27
最近更新